Array
(
    [content] => 
    [params] => Array
        (
            [0] => /forum/index.php?threads/samsung-reportedly-delays-its-texas-fab-mulling-upgrade-from-4nm-to-2nm.20479/
        )

    [addOns] => Array
        (
            [DL6/MLTP] => 13
            [Hampel/TimeZoneDebug] => 1000070
            [SV/ChangePostDate] => 2010200
            [SemiWiki/Newsletter] => 1000010
            [SemiWiki/WPMenu] => 1000010
            [SemiWiki/XPressExtend] => 1000010
            [ThemeHouse/XLink] => 1000970
            [ThemeHouse/XPress] => 1010570
            [XF] => 2021370
            [XFI] => 1050270
        )

    [wordpress] => /var/www/html
)

Samsung Reportedly Delays Its Texas Fab, Mulling Upgrade from 4nm to 2nm

Daniel Nenni

Admin
Staff member
Samsung-Texas-624x324.jpg

Samsung is reportedly mulling to update its fabrication capabilities in the Taylor fab near Austin from 4nm to 2nm, and delaying the related equipment orders, according to reports from Tom’s Hardware and Korea media outlet ETnews. The decision is said to be made in Q3 2024.

Samsung’s initial projection, announced in 2021 when the investment plan was disclosed, had anticipated the Taylor plant to start its mass production of 4nm in the second half of 2024. But afterwards, the tech giant has reportedly postponed the schedule to 2025.

Now, according to the latest reports, with the AI hardware race intensifying and Nvidia, one of Samsung’s biggest clients, keeps advancing in its GPU platforms, 4nm production may no longer suffice. Therefore, Samsung has postponed its equipment orders for the Taylor fab as it considers the upgrade from 4nm to 2nm. The plant may not begin operations until 2026, US local media MySA noted.

According to information from Samsung’s press release, the company plans to provide one-stop solution for clients to expedite their production of AI chips, while its updated 2nm node with backside power delivery is expected to enter the market in 2027. SF4U, its high-value 4nm variant that offers PPA improvements by incorporating optical shrink, plans to enter mass production in 2025.

Earlier in April, the U.S. government announced that it will provide up to USD 6.4 billion in subsidies to Samsung for expanding advanced chip production capacity at its Texas plant, while it previously approved subsidies of up to USD 8.5 billion for US chip giant Intel and USD 6.6 billion for TSMC, respectively.

Regarding major semiconductor companies’ capacity expansion plans in the U.S., TSMC’s new fab in Arizona aims to complete all preparations for mass production by the end of this year, and commences mass production using the 4-nanometer process in the first half of 2025. On the other hand, TSMC also plans to build a third fab in Arizona, which will use 2nm process or even more advanced technologies to manufacture wafers for customers, though the date for construction has yet to be disclosed.

Meanwhile, Intel plans to mass-produce its 20A and 18A nodes at its Arizona and Ohio plants in 2024 and 2025, respectively.

 
Samsung-Texas-624x324.jpg



Now, according to the latest reports, with the AI hardware race intensifying and Nvidia, one of Samsung’s biggest clients, keeps advancing in its GPU platforms, 4nm production may no longer suffice. Therefore, Samsung has postponed its equipment orders for the Taylor fab as it considers the upgrade from 4nm to 2nm. The plant may not begin operations until 2026, US local media MySA noted.


Meanwhile, Intel plans to mass-produce its 20A and 18A nodes at its Arizona and Ohio plants in 2024 and 2025, respectively.

so many strange comments:
Nvidia is one of Samsungs biggest customers for GPUs? For What? Memory? not processor, correct?
Intel will definitely not mass produce 20A in Arizona in 2024..... probably not 2025 either. Fab52 is not close to production. Fab62 is delayed
Ohio will not ship production until 2028 at the earliest.

that said, the "pretend to build the fab, get the subsidies approved, delay the fab" will continue like it always does. to decide when the fab is getting "real" ... Always look for the cranes moving the TOOLS in (I recently did that at TSMC and Intel in Arizona)
 
Funny Samsung did not mention anything about this at the event last week....

Here is the best write-up of the Samsung event:


It is just hard for me to believe anything Samsung says plus they did not give me the slides so I didn't waste my time. On a funny side note. At the beginning of the presentation to the press they clearly said no photography yet just about everyone but me took pictures. There were maybe 20 of us.
 
so many strange comments:
Nvidia is one of Samsungs biggest customers for GPUs? For What? Memory? not processor, correct?
Intel will definitely not mass produce 20A in Arizona in 2024..... probably not 2025 either. Fab52 is not close to production. Fab62 is delayed
That is just bad reporting on their part. Intel said 2025 for F52 start up. As for F62 I don’t think they ever gave a date.
Ohio will not ship production until 2028 at the earliest.

that said, the "pretend to build the fab, get the subsidies approved, delay the fab" will continue like it always does. to decide when the fab is getting "real" ... Always look for the cranes moving the TOOLS in (I recently did that at TSMC and Intel in Arizona)
To me this is a demand problem not a delay problem for Samsung. Even if this rumor is true, they insinuate that nobody seems to want Samsung’s SF4 (which seems to be mostly true), and that they hope they can get some SF2 business going to fill this fab. If it was a construction related delay, Samsung should be having huge SF4 shortages next year (as like 40k wspm of capacity never materializes). Since there is no indication that there will be SF4 shortages whatsoever, this seems more similar to F48 being delayed until demand materializes rather than an intel Ohio or TSMC AZ situation where both firms seemingly had over ambitious schedules.
 
That is just bad reporting on their part. Intel said 2025 for F52 start up. As for F62 I don’t think they ever gave a date.

To me this is a demand problem not a delay problem for Samsung. Even if this rumor is true, they insinuate that nobody seems to want Samsung’s SF4 (which seems to be mostly true), and that they hope they can get some SF2 business going to fill this fab. If it was a construction related delay, Samsung should be having huge SF4 shortages next year (as like 40k wspm of capacity never materializes). Since there is no indication that there will be SF4 shortages whatsoever, this seems more similar to F48 being delayed until demand materializes rather than an intel Ohio or TSMC AZ situation where both firms seemingly had over ambitious schedules.

Definitely a demand problem. Samsung 3/2nm will be the same. It will be all TSMC N2 again with Intel 18A batting cleanup and Samsung 2nm still in the locker room. :ROFLMAO:
 
But Dan..... what about Rapidus?????? they will be dominating with their Single wafer processing based on awesome IBM fab technology..... and the 2nm Fab will be up an running in 2025 :ROFLMAO: :LOL:

It will certainly be fun to watch. The question I have is how much money will Japan spend on this to save face?
 
Samsung-Texas-624x324.jpg

Samsung is reportedly mulling to update its fabrication capabilities in the Taylor fab near Austin from 4nm to 2nm, and delaying the related equipment orders, according to reports from Tom’s Hardware and Korea media outlet ETnews. The decision is said to be made in Q3 2024.

Samsung’s initial projection, announced in 2021 when the investment plan was disclosed, had anticipated the Taylor plant to start its mass production of 4nm in the second half of 2024. But afterwards, the tech giant has reportedly postponed the schedule to 2025.

Now, according to the latest reports, with the AI hardware race intensifying and Nvidia, one of Samsung’s biggest clients, keeps advancing in its GPU platforms, 4nm production may no longer suffice. Therefore, Samsung has postponed its equipment orders for the Taylor fab as it considers the upgrade from 4nm to 2nm. The plant may not begin operations until 2026, US local media MySA noted.

According to information from Samsung’s press release, the company plans to provide one-stop solution for clients to expedite their production of AI chips, while its updated 2nm node with backside power delivery is expected to enter the market in 2027. SF4U, its high-value 4nm variant that offers PPA improvements by incorporating optical shrink, plans to enter mass production in 2025.

Earlier in April, the U.S. government announced that it will provide up to USD 6.4 billion in subsidies to Samsung for expanding advanced chip production capacity at its Texas plant, while it previously approved subsidies of up to USD 8.5 billion for US chip giant Intel and USD 6.6 billion for TSMC, respectively.

Regarding major semiconductor companies’ capacity expansion plans in the U.S., TSMC’s new fab in Arizona aims to complete all preparations for mass production by the end of this year, and commences mass production using the 4-nanometer process in the first half of 2025. On the other hand, TSMC also plans to build a third fab in Arizona, which will use 2nm process or even more advanced technologies to manufacture wafers for customers, though the date for construction has yet to be disclosed.

Meanwhile, Intel plans to mass-produce its 20A and 18A nodes at its Arizona and Ohio plants in 2024 and 2025, respectively.


The first thing came to my mind from this news is Groq. Groq selected Samsung new Taylor Plant to produce its new AI processors. I'm wondering if Groq has a Plan B.
 
Samsung-Texas-624x324.jpg

Samsung is reportedly mulling to update its fabrication capabilities in the Taylor fab near Austin from 4nm to 2nm, and delaying the related equipment orders, according to reports from Tom’s Hardware and Korea media outlet ETnews. The decision is said to be made in Q3 2024.

Samsung’s initial projection, announced in 2021 when the investment plan was disclosed, had anticipated the Taylor plant to start its mass production of 4nm in the second half of 2024. But afterwards, the tech giant has reportedly postponed the schedule to 2025.

Now, according to the latest reports, with the AI hardware race intensifying and Nvidia, one of Samsung’s biggest clients, keeps advancing in its GPU platforms, 4nm production may no longer suffice. Therefore, Samsung has postponed its equipment orders for the Taylor fab as it considers the upgrade from 4nm to 2nm. The plant may not begin operations until 2026, US local media MySA noted.

According to information from Samsung’s press release, the company plans to provide one-stop solution for clients to expedite their production of AI chips, while its updated 2nm node with backside power delivery is expected to enter the market in 2027. SF4U, its high-value 4nm variant that offers PPA improvements by incorporating optical shrink, plans to enter mass production in 2025.

Earlier in April, the U.S. government announced that it will provide up to USD 6.4 billion in subsidies to Samsung for expanding advanced chip production capacity at its Texas plant, while it previously approved subsidies of up to USD 8.5 billion for US chip giant Intel and USD 6.6 billion for TSMC, respectively.

Regarding major semiconductor companies’ capacity expansion plans in the U.S., TSMC’s new fab in Arizona aims to complete all preparations for mass production by the end of this year, and commences mass production using the 4-nanometer process in the first half of 2025. On the other hand, TSMC also plans to build a third fab in Arizona, which will use 2nm process or even more advanced technologies to manufacture wafers for customers, though the date for construction has yet to be disclosed.

Meanwhile, Intel plans to mass-produce its 20A and 18A nodes at its Arizona and Ohio plants in 2024 and 2025, respectively.

Korean media is like bottom tier for accuracy I swear. It says Nvidia is one of samsung's biggest customers, but has nvidia has anything made at samsung since samsung 8nm? Weird
 
Back
Top