Array
(
    [content] => 
    [params] => Array
        (
            [0] => /forum/index.php?threads/nodelets-halfnodes-take-to-their-zenith-a-new-process-development-model.17233/page-2
        )

    [addOns] => Array
        (
            [DL6/MLTP] => 13
            [Hampel/TimeZoneDebug] => 1000070
            [SV/ChangePostDate] => 2010200
            [SemiWiki/Newsletter] => 1000010
            [SemiWiki/WPMenu] => 1000010
            [SemiWiki/XPressExtend] => 1000010
            [ThemeHouse/XLink] => 1000970
            [ThemeHouse/XPress] => 1010570
            [XF] => 2021370
            [XFI] => 1050270
        )

    [wordpress] => /var/www/html
)

Nodelets/halfnodes take to their zenith: A new process development model

I agree fully. My main point however was that TSMC really wasn’t getting my High NA EUV much later the Intel. I believe TSMC is getting it in 2024. As per this article. Pat has made it out like Intel is getting some huge jump on TSMC when that narrative is misleading at best, especially considering TSMC has much more experience with mass production in using base EUV. If I’m wrong here please correct me. But this is what I’ve heard. https://www.taipeitimes.com/News/biz/archives/2022/06/18/2003780053
They might be getting it at basically the same time, but what remains to be seen is after the first few units what is everyone’s share of the next 20? My intuition also tells me that TSMC needs more units than intel before they can merge it with one of their process nodes. The statement that their first unit is just for research purposes when intel says they want to bring it “into production for 2025”might allude to this being the case. Time will tell though.

On the expertise front yes and no. There will be somethings that are identical some that are different. One also must remember that by 2025 intel will have had two full nodes to get used to EUV. Combine this with intel 4/3 being super late, I would assume most of intel’s EUV hardships are behind them.
 
UMC has a limited set of customers that also manufacture on TSMC. UMC CMOS processes are "TSMC Like" so the ecosystem is a bit shared. UMC 14nm however is not TSMC compatible which is why it failed. GF is another story completely. Let's be honest here, GF failed at process development at every step of the way: 28nm, 14nm, and 7nm. They have done okay with FDSOI but that is really STMicro. The big money GF processes are from the Charter acquisition, IBM acquisition, and Samsung 14nm licensing. Bring it back to the trust, how do you trust a foundry that has so many failures behind them? And what does the future really hold for UMC, GF, and any other foundry that is stuck in time?

My prediction is that a semiconductor manufacturing oversupply is coming and that will benefit TSMC because no one has the economies of scale for logic manufacturing that TSMC does. Intel and Samsung will also be okay due to the IDM and memory businesses. The foundry business is a side gig for them. The other foundries however have been living the high life on the semiconductor shortage narrative which will come to a halt next year. You can expect layoffs and CAPEX cuts from them in 20223, absolutely.
 
Intel definitely has the advantage for High NA EUV because TSMC has to be in HVM with Apple when it is publicly available. Intel just has to do CPU chiplets. But again this is a press release win because if you are not in HVM with a major customer it does not count in the foundry business. That is the problem I have always had with IBM process press releases. They have great lab silicon but no way does it make it to HVM with a big chip.

Intel is very smart doing Intel 4 with CPU chiplets only (no IO etc...) and bringing full chips in with Intel 3. Same with 20A and 18A. 20A is for chiplets and 18A is for full chips. Serious yield learning for sure, but it is not fair to compare Intel 4 directly with TSMC N4 or N3. Those are full chip processes not just for chiplets. And what good is a process if you can't design big to it? (patent trolling aside) As soon as QCOM ships a 18A chip then let the real comparisons begin, just my opinion of course.
 
Last edited:
UMC has a limited set of customers that also manufacture on TSMC. UMC CMOS processes are "TSMC Like" so the ecosystem is a bit shared. UMC 14nm however is not TSMC compatible which is why it failed. GF is another story completely. Let's be honest here, GF failed at process development at every step of the way: 28nm, 14nm, and 7nm. They have done okay with FDSOI but that is really STMicro. The big money GF processes are from the Charter acquisition, IBM acquisition, and Samsung 14nm licensing. Bring it back to the trust, how do you trust a foundry that has so many failures behind them? And what does the future really hold for UMC, GF, and any other foundry that is stuck in time?

My prediction is that a semiconductor manufacturing oversupply is coming and that will benefit TSMC because no one has the economies of scale for logic manufacturing that TSMC does. Intel and Samsung will also be okay due to the IDM and memory businesses. The foundry business is a side gig for them. The other foundries however have been living the high life on the semiconductor shortage narrative which will come to a halt next year. You can expect layoffs and CAPEX cuts from them in 20223, absolutely.
I believe we saw a preview of this during 2022. Intel and Samsung had a down year this year and yet TSMC went on a huge tear. During semi super cycles the tide lifted all boats. But once the tide went out this year TSMC was left high above all others.
 
Me and my colleague saw three main issues with this development model. Even with the co-development/derisking of certain process modules and the additional fudge factor that would be allocated to this "big jump" node; the "big jump" node will still likely be hard to predict. This model would also likely need far more engineers and scientist than the current "TSMC model" requires. Finally designers might not bother with many of these halfstep nodes (N7+ being basically unused by all but Apple comes to mind here). Regardless of the idea's practicality, it was a fun discussion, and I thought the folks here might enjoy the thought experiment.
Viewed from the outside, TSMC seems more customer focused while Intel's model is more process focus. Intel traditionally waited for a big train of improvements which was a "process node", built a factory around it, and then cloned that factory exactly. Their customer colleagues would target that process. In the decades where Intel lead the world this was very successful. When their process failed on a big bet they came to a screeching halt for years, tinkering with minor improvements and backing up designs which had committed to processes not in production.

During that time you could observe that TSMC appeared to build a new process every 12 months or less. Rather than having a fixed recipe, they integrated what worked and delayed the improvements not yet ready to a later node. It is not clear if they cloned any plant exactly. This allowed them to always have a process ready to go with customers on a yearly cadence that was essential to the dominant consumer markets.

I'm sure this has actually been extraordinarily complex to achieve. TSMC probably backfit improvements to older plants as well as do some incredible gymnastics to rescue things they thought were ready to go. But overall there seems to be a different ethos: big bang and copy exactly with the customers migrating in waves, vs. continuous improvements for multiple different customers who have multiple choices in full production and confidence there will be a cadence when they are ready. TSMC long ago committed to high integration with 3rd party EDA which also helped them support migration. Intel's first attempt at being a 3rd party foundry, not so smooth.

I would say that figuring out continuous improvement is going to win, given that Fabs are a combination of so many different technologies. Pause and big-leap works better in simpler systems.
 
Intel definitely has the advantage for High NA EUV because TSMC has to be in HVM with Apple when it is publicly available. Intel just has to do CPU chiplets. But again this is a press release win because if you are not in HVM with a major customer it does not count in the foundry business. That is the problem I have always had with IBM process press releases. They have great lab silicon but no way does it make it to HVM with a big chip.

Intel is very smart doing Intel 4 with CPU chiplets only (no IO etc...) and bringing full chips in with Intel 3. Same with 20A and 18A. 20A is for chiplets and 18A is for full chips. Serious yield learning for sure, but is is not fair to compare Intel 4 directly with TSMC N4 or N3. Those are full chip processes not just for chiplets. And what good is a process if you can't design big to it? (patent trolling aside) As soon as QCOM ships a 18A chip then let the real comparisons begin, just my opinion of course.
If Intel 3 really includes everything (I/0, high density, high performance, ultra high performance cells), then they could manufacture their entire product range using their own labs without depending on TSMC
 
The Intel 4 node will be barely used. The only products are one chiplet of Meteor Lake laptop (U series) and Granite Rapids. I suspect Meteor Lake desktop will be on Intel 3. Even Granite Rapids will be quickly moved to Intel 3. Presumably, the initial Granite Rapids product will only have the CPU chiplet on Intel 4. I wonder if they use TSMC for other chiplets like Meteor Lake.
 
The Intel 4 node will be barely used. The only products are one chiplet of Meteor Lake laptop (U series) and Granite Rapids. I suspect Meteor Lake desktop will be on Intel 3. Even Granite Rapids will be quickly moved to Intel 3. Presumably, the initial Granite Rapids product will only have the CPU chiplet on Intel 4. I wonder if they use TSMC for other chiplets like Meteor Lake.
Yes.
 
If Intel 3 really includes everything (I/0, high density, high performance, ultra high performance cells), then they could manufacture their entire product range using their own labs without depending on TSMC
That isn’t the only consideration that needs to be made. Intel needs to ask itself where it’s limited i3 wafers need to go, are there some dies that would just be better on a TSMC node, does this part scale well enough to justify i3 over N6 or N5, and is the part already designed for TSMC, and if we wanted to move a part to i3 could we do it in time to meet product commits? There isn’t a binary intel has caught most of the way caught up to TSMC, therefore intel will go to 100% internal nodes.
 
Assuming GF nails the recipe, MPWs are for prototyping. If the majority of chips work, then you can trust them, no? They would need to be more aggressive on MPWs. Upstate NY seems to be right location for funding with the current administration.
 
If UMC was 100% compatible with TSMC and 20% cheaper, do you believe customers would send their GDS2's there? Which country would be more likely for UMC to expand to?
 
Back
Top