Array
(
    [content] => 
    [params] => Array
        (
            [0] => /forum/index.php?threads/interesting-notes-from-the-tsmc-q2-2018-call.10609/
        )

    [addOns] => Array
        (
            [DL6/MLTP] => 13
            [Hampel/TimeZoneDebug] => 1000070
            [SV/ChangePostDate] => 2010200
            [SemiWiki/Newsletter] => 1000010
            [SemiWiki/WPMenu] => 1000010
            [SemiWiki/XPressExtend] => 1000010
            [ThemeHouse/XLink] => 1000970
            [ThemeHouse/XPress] => 1010570
            [XF] => 2021370
            [XFI] => 1050270
        )

    [wordpress] => /var/www/html
)

Interesting notes from the TSMC Q2 2018 Call

raghu78

Member
Daniel
I agree with your view that EUV will not be widely used in HVM until 3nm. I think Apple is unlikely to use N7+ for 2019 iPhones.

Apple Clouds ASML Earnings Forecast - Barron's

FINFET is likely to run out of steam at 5nm especially for high performance. TSMC mobile customers will use N5 but high perf customers like AMD, Nvidia or Xilinx will use N7/N7+ for 2019-2022 and move directly to N3 in 2023. The move to horizontal nanosheets at 3nm will bring a big improvement in PPA over N7/N7+ just like 28nm high k metal gate vs 40nm and 16/14nm FINFET vs 28nm.

I think TSMC N7 will be it most successful node ever after 28nm in terms of foundry share. In 2019 the share should be well above 80%. For 2020 too I think it should be around 70%. I do think TSMC will face tougher competition from Samsung and GF at 3nm. I think all the 3 foundries will get to 3nm HVM in 2022 and the difference will be at most 3-6 months.
 
Daniel
I agree with your view that EUV will not be widely used in HVM until 3nm. I think Apple is unlikely to use N7+ for 2019 iPhones.

Apple Clouds ASML Earnings Forecast - Barron's

FINFET is likely to run out of steam at 5nm especially for high performance. TSMC mobile customers will use N5 but high perf customers like AMD, Nvidia or Xilinx will use N7/N7+ for 2019-2022 and move directly to N3 in 2023. The move to horizontal nanosheets at 3nm will bring a big improvement in PPA over N7/N7+ just like 28nm high k metal gate vs 40nm and 16/14nm FINFET vs 28nm.

I think TSMC N7 will be it most successful node ever after 28nm in terms of foundry share. In 2019 the share should be well above 80%. For 2020 too I think it should be around 70%. I do think TSMC will face tougher competition from Samsung and GF at 3nm. I think all the 3 foundries will get to 3nm HVM in 2022 and the difference will be at most 3-6 months.

GF does not have the capacity to compete with TSMC. GF needs to stay on the TSMC second source trail, my opinion. Samsung is the biggest threat. The challenge Samsung faces is ecosystem and they have been making great strides. The other issue is executive turnover. It is hard to trust a foundry when there are new faces in every meeting. If you look at how much money TSMC and Samsung spend to stay on the leading edge you have to wonder who can stay with them. Intel and who else? The Chinese Government?
 
Published note:

TSMC cuts Capex by $1.5B- No surprise, as biz slows - China trade can kicked far down the road, out of sight - Litho (ASML) will gain as we pass multipatterning peak...

We have more crosscurrents in the semi industry as we have gotten the first couple of companies to report.

TSMC is slowing its Capex spend to reflect a slowing business environment. This $1.5B cut was more or less expected as the company had previously talked about slowing crypto demand and slowing smartphone. The capex cut was in line with what was expected and some of the cut is just a push out but does none the less impact near term spend.

We think the China trade issue has been kicked so far down the road, as to no longer be visible and as to not impact results or stock prices for at least another quarter. Not only has the China trade issue been pushed out of sight but a new larger crisis of Russia has emerged to take its place and we doubt the administration will resurface the China issue anytime soon.

To be very clear, the China issue is far from dead and we will see it return at some point and likely do some damage to both imports and exports, but we think that perhaps for the remainder of the year the issue is on the back burner and will not likely impact stocks. We would still keep a sharp eye on that back burner pot because as we have come to understand with the current administration that things can explode at a moments tweet.

ASML's report yesterday coupled with TSMC's comments reminds us that we are likely seeing a peak of multipatterning in the chip making business and will likely see the fortunes of percentages of capex WFE spend shift back towards litho and away from dep and etch. Litho has lost share over the past years as EUV was very late and multipatterning was the work around to keep Moore's law on track. While EUV is far from perfect we do expect manufacturers to increase its use and work out the kinks as it is needed for the industry to make continued progress.

It is well known that an EUV process flow replaces many more steps in a multipatterning flow and that is the basis for the eventual cost savings that EUV brings (even if that savings is minimal or does not exist today...) EUV also brings about performance enhancements that end customers want and perhaps want sooner rather than later as is the case with Apple.

As such we think we are at the peak of the multipatterning trend and will see spend move away from dep and etch towards litho as the process flow changes over the next few years. We think TSMC will lead that change as Samsung will still spend a lot on dep and etch for 3D memory stacks unrelated to multipatterning. Samsungs logic will also shift spend from dep and etch to litho as will Intel.

TSMC put its $1.5B in cuts into three buckets; $600M in plain old fashioned cuts, $700M in push outs (probably into next year but could be considered cancelations) and $200M in Forex gains.

Spending has been coming down sharply at TSMC much in line with expected business slowing from $2.5B in Q1 to $2B in Q2 and versus $3.5B a year ago.

We are now in a likely $2.5B per quarter spend rate with maybe $2B in "base" ongoing spend and $500M related to special spending such as last quarters spend on litho and mask capacity.

TSMC made comments about simpler processes which is clear code for going to EUV away from multipatterning (which simplifies the process..) which causes us to remind investors about this impending shift in the foundation of the industry.

China trade issue out of sight....for the time being
The administration kicked the export control and foreign investment can down the road to congress. As we previously discussed, congress can't do anything in a reasonable period and as expected things have slowed considerably.

We have spoken to a number of people familiar with the situation in Washington and have come away with some conclusions. FIRRMA (Foreign Investment Risk Review Modernization act of 2017) is CFIUS on steroids as it not only enhances CFIUS controls and goes into more detail but also adds export controls to the new proposed "super CFIUS". FIRMMA has been proposed in both the house and senate and is now in committee to work out a common version. This common version that could be voted on and sent to the President will not likely see sunlight until some time in the fall.

Even if this gets voted on and passed by the senate, house & president by November it still needs to get implemented. There is likely a very long list of items in the queue that FIRRMA (Ex CFIUS) will have to deal with. This very long "backlog" of issues will likely push far into 2019, with M&A transactions getting priority and export controls getting lower priority.

However, we would be concerned that when FIRRMA does get around to export controls we will likely see a return to export licenses as we had in the past when leading edge technology sales to China were restricted. FIRRMA talks a lot about emerging technology which is likely code for AI, machine learning and AR/VR but semiconductor technology like EUV is buried in there somewhere.

Link to proposed FIRRMA bill in Congress

In summary, we think that the risk of export controls on semiconductor equipment have likely been pushed into 2019 and out of the investment horizon that impacts the stocks. Of course the administration could always move trade back to the front burner to distract people away from the Russia debacle and try to win points in front of mid term elections but so far that tweet hasn't happened...stay tuned.....

The litho pendulum swings back
We were one of the first in the industry to point out the upside of multipatterning as EUV was delayed. We may be a little early on EUVs impact on slowing multipatterning but we think investors need to add it to the overall equation of the equipment industry dynamics which includes slowing memory, China risk, Moore's Law etc. Without doubt we will see litho (ASML) capture a much larger percentage of overall spend of WFE Capex over time. While this does not impact all of the upside we have seen in dep and etch over the past years (it does not impact increased dep/etch for 3D NAND), we will see the uptick in dep/etch slow and go back to a more normal percentage.

TSMC's spending on litho related expenses in Q1, their talk about a "simpler process" in Q2 coupled with ASML's continued march forward on EUV reported yesterday all point to the shift happening in real time.

TSMC used to be the leading anti-EUV chip maker or an at least an EUV doubter. Now TSMC has completed its 180 degree turn and is clearly in love with EUV and claims to be the leader with the most tools (as discussed on todays call). The reversal is quite sharp and surprising in its speed. We would not underestimate the speed at which EUV could be implemented once we get past some critical inflection points. While the DUV conversion certainly did not have the myriad of issues that EUV has, the conversion was fairly quick.

Modulating Spending at Samsung and TSMC
It should be no surprise that like any other industries, when things slow down you slow down your spending. Both Samsung and TSMC have clearly slowed their spending as their end businesses slowed.. While fab construction is a multi year project, the companies have been controlling their spending on a much shorter term basis by accelerating or decelerating spending inside of a quarter, to manage their profitability.

While companies still have to do "base" R&D spend to keep pushing Moore's law forward, there is a lot of variable spend that has variable timing and amplitude that greatly impacts the quarterly fortunes of the industry. This "cyclical" spend will still drive the stocks even though the long term prospects remain very positive.

Investors can't ignore these cyclical, short term patterns given the volatility of the stocks that can see their values move sharply in a short period. Buy and hold may work in other industries and may work in the very long term in chips but a lot more money can be made by playing these near term trends. This near term spending modulation isn't going away any time soon.

Cyclical - "of or denoting a business or stock whose income, value, or earnings fluctuate widely according to variations in the economy or the cycle of the seasons" (definition from Dictionary.com)

TSMC and "advanced packaging" - More than Moore
The TSMC call also reminded us of advanced packaging as a way of pushing Moore's law without the traditional geometric silicon shrinks. We think that many in the industry have ignored this growing segment of the industry that will continue to see increased growth, focus and spend. We think TSMC will continue as one of the leaders with its "fan out" and other similar technologies.

The stocks
Even though it was expected, the news of TSMC capex cuts also cut the equipment stocks. Some of this was likely related to the stocks being up yesterday more than they should have on ASML's report.

TSMC cuts do however add to the memory slowdown and we will see that impact when Lam reports. We see no really good reason to take the risk and own Lam or AMAT going in to Lam's report. KLAC has less exposure and risk but is sympathetic. While the China risk has been pushed out, memory risk is more real. Its very clear that TSMC, Intel and others will not offset Samsungs slow down so we don't see the upside in the near term as H2 will clearly be down.
 

The Q&A was entertaining as always. One analyst asked if TSMC was making the AMD CPUs. The answer was no comment but yes they are.


Calvium and co. haven't released road maps, but they are not likely to tape out 7nm chips this year. Once they said a server customer was taping out 7nm that eliminated everyone but AMD.
 
Yes, I forgot to mention that TSMC said smartphone chips (Apple) would do well moving forward:

Taiwan Semiconductor Manufacturing Co. climbed the most in almost three years as investors focused on its prediction that the outlook for high-priced devices would be strong in the second half.

TSMC Jumps as Investors Focus on Glossier Smartphone Outlook

GF does not have the capacity to compete with TSMC. GF needs to stay on the TSMC second source trail, my opinion. Samsung is the biggest threat. The challenge Samsung faces is ecosystem and they have been making great strides. The other issue is executive turnover. It is hard to trust a foundry when there are new faces in every meeting. If you look at how much money TSMC and Samsung spend to stay on the leading edge you have to wonder who can stay with them. Intel and who else? The Chinese Government?

Yes. GF do not have capacity today but I think they are looking to add a second fab at Malta in time for 3nm. They are also looking for US federal assistance in the form of tax grants, infrastructure development and human resource training.

https://www.eetimes.com/document.asp?doc_id=1333286&page_number=1

I think GF needs to compete for first source business and that is the real way they can grow their foundry share in a big way and be able to financially sustain their business. Today their business is primarily being bankrolled by Mubadala but GF want to build a financially sustainable business and for that to happen they cannot be 1/5th the size of TSMC and around 10% of foundry market. GF need to target getting to 20-25% share of foundry market. New nodes like 3nm are extremely expensive to develop and fab costs are in the USD 10+ bn range. I do not think second source business will get GF to the revenue it needs to build a financially sustainable model for a leading edge foundry. Samsung is able to use its DRAM and NAND cash cow to fund their process development and fab costs. GF does not have that luxury. The only way is focus on offering competitive PPA at a very competitive time to market. Thats why getting to 3nm in 2022 on par with TSMC is crucial. Getting one of TSMC's primary high perf customers like Nvidia is necessary for GF to build a strong business. GF can do it as their focus is high performance for IBM and AMD. Lets see how it goes. The next few years will be interesting.
 
Last edited:
Calvium and co. haven't released road maps, but they are not likely to tape out 7nm chips this year. Once they said a server customer was taping out 7nm that eliminated everyone but AMD.

Last time I was in Hsinchu I saw Ryzen people loitering about. It is definitely AMD.
 
Today their business is primarily being bankrolled by Mubadala but GF want to build a financially sustainable business and for that to happen they cannot be 1/5th the size of TSMC and around 10% of foundry market.

Additionally New York State has given various grants and tax incentives in billion dollars to GF.

And I'm wondering how you come out this 1/5th size comparison? Thanks.
 
Last edited:
I still think a big problem with Apple at 7nm+ is volume. My math is Apple ships 60M phones a quarter. If even 20% use the new chip that is 12M, front end loaded. They like their new phone to be their top seller. If TSM needs 10 layers and has 20 litho machines, that means they can process 5100 wafers a day, assuming 2 effective lines x 125wph x 24 hours/day x 85% uptime. Then in 90 days they could process 459k wafers if everything works perfectly, which it won't. I am not sure how many processors they get from one wafer but to get 12M phones from 495k wafers, that is 26 processors on a wafer. I am not sure how that works at all but the math seems tight to me unless a wafer yields 100 processors or something. Especially with AI, which is used in Apple phones, I know chips are getting bigger Where would I be most wrong?

Then if all the volume was being hogged by Apple, nothing would be left for AMD or anyone else of impact, which probably not the case. They mentioned 7nm but not 7nm+ specifically as I recall.
 
I still think a big problem with Apple at 7nm+ is volume. My math is Apple ships 60M phones a quarter. If even 20% use the new chip that is 12M, front end loaded. They like their new phone to be their top seller. If TSM needs 10 layers and has 20 litho machines, that means they can process 5100 wafers a day, assuming 2 effective lines x 125wph x 24 hours/day x 85% uptime. Then in 90 days they could process 459k wafers if everything works perfectly, which it won't. I am not sure how many processors they get from one wafer but to get 12M phones from 495k wafers, that is 26 processors on a wafer. I am not sure how that works at all but the math seems tight to me unless a wafer yields 100 processors or something. Especially with AI, which is used in Apple phones, I know chips are getting bigger Where would I be most wrong?

Then if all the volume was being hogged by Apple, nothing would be left for AMD or anyone else of impact, which probably not the case. They mentioned 7nm but not 7nm+ specifically as I recall.

125 wph is very optimistic, in light of Samsung shooting for 60 wph by 2020.
 
I still think a big problem with Apple at 7nm+ is volume. My math is Apple ships 60M phones a quarter. If even 20% use the new chip that is 12M, front end loaded. They like their new phone to be their top seller. If TSM needs 10 layers and has 20 litho machines, that means they can process 5100 wafers a day, assuming 2 effective lines x 125wph x 24 hours/day x 85% uptime. Then in 90 days they could process 459k wafers if everything works perfectly, which it won't. I am not sure how many processors they get from one wafer but to get 12M phones from 495k wafers, that is 26 processors on a wafer. I am not sure how that works at all but the math seems tight to me unless a wafer yields 100 processors or something. Especially with AI, which is used in Apple phones, I know chips are getting bigger Where would I be most wrong?

Then if all the volume was being hogged by Apple, nothing would be left for AMD or anyone else of impact, which probably not the case. They mentioned 7nm but not 7nm+ specifically as I recall.

Last I checked Apple was not doing EUV design work. This was last month.
 
I still think a big problem with Apple at 7nm+ is volume. My math is Apple ships 60M phones a quarter. If even 20% use the new chip that is 12M, front end loaded. They like their new phone to be their top seller. If TSM needs 10 layers and has 20 litho machines, that means they can process 5100 wafers a day, assuming 2 effective lines x 125wph x 24 hours/day x 85% uptime. Then in 90 days they could process 459k wafers if everything works perfectly, which it won't. I am not sure how many processors they get from one wafer but to get 12M phones from 495k wafers, that is 26 processors on a wafer. I am not sure how that works at all but the math seems tight to me unless a wafer yields 100 processors or something. Especially with AI, which is used in Apple phones, I know chips are getting bigger Where would I be most wrong?

Then if all the volume was being hogged by Apple, nothing would be left for AMD or anyone else of impact, which probably not the case. They mentioned 7nm but not 7nm+ specifically as I recall.

This makes sense. I could imagine Apple trying N7+ for the iPad though.
 
I think we're starting to see the competitive dynamics change in the foundry market. Here are my observations:
- TSMC slowing down, but with new modules on the way
- GF Fab 8 full, and perhaps profitable, but no new modules planned (rumors aside, nothing concrete)
- Samsung foundry fabs full and profitable, with several more modules on the way
- Intel LSI (modem and FPGA) is alive, foundry is dead (for now). Intel is very profitable, and upgrading existing modules (no new modules).
- Chinese foundries are a dark horse but will probably be impactful eventually
I speculate that TSMC market share is being eroded by competition. The erosion may reverse in the future though.
Intel LSI has yet to ship product, but, it is a development that changes industry dynamics. If Intel vertically integrating modems ends up reducing costs, by cutting out the middle man (the foundry), this could shift competitive advantage to foundries that have internal LSI divisions. The early indication is that, indeed, Intel has some sort of advantage over Qualcomm and has won the Apple modem business.
TSMC execution is flawless, Intel execution is flawed but hasn't affected profitability, and Samsung Foundry and GF are executing well enough to be profitable.
 
benb;38561 If Intel vertically integrating modems ends up reducing costs said:
Here's my unsollicited opinion:

Intel won Apple's modem business because of 'contra revenue'; DCG & CCG pay for 'modem losses'. Without de-facto x86 monopoly the last few years, their wouldn't be an Intel modem business. Intel almost earns nothing on these modems. In fact, it's so disastrous, Intel has been warning, more modems to Apple negatively impacts their margins: "Implied in our guide for Q4 in particular, a couple of things going on -- one, accelerating growth of modem as we go through the second half of the year," <- That's Bob Swan saying just that in his own words.

Also: Intel’s Mobile Failure a $17B Mistake, Says New Street Research - Barron's

There's also rumors that Intel lost Apple's modem business after 2019, either to Mediatek or Apple is going to do it themselves; and either of these two means TSMC I'd guess; so using or-elimination, at least Apple sees no advantage in a vertically integrated model. Even if Intel had a vertically integrated advantage, I think they still have a "high cost location"-disadvantage to TSMC.

Intel not having advantage also makes sense: It seems Intel doesn't make substantial profit on modems, while Qualcomm does. For Apple, it's an advantage if Intel doesn't make profits on modems and sells them with contra-revenue. Moreover, it's an advantage 'just by being a disadvantage to Qualcomm' I'd guess. However, as it seems Intel is behind the competition when it comes to 5G (AFAIK Intel doesn't have working sillicon for a smartphone 5G-NR product!!!), the advantage of Intel paying for Apple's modems is of course lost for Apple once (and if?) 5G arrives. Intel can't pay Apple for something they don't have, can they?
 
I think we're starting to see the competitive dynamics change in the foundry market. Here are my observations:
- TSMC slowing down, but with new modules on the way
- GF Fab 8 full, and perhaps profitable, but no new modules planned (rumors aside, nothing concrete)
- Samsung foundry fabs full and profitable, with several more modules on the way
- Intel LSI (modem and FPGA) is alive, foundry is dead (for now). Intel is very profitable, and upgrading existing modules (no new modules).
- Chinese foundries are a dark horse but will probably be impactful eventually
I speculate that TSMC market share is being eroded by competition. The erosion may reverse in the future though.
Intel LSI has yet to ship product, but, it is a development that changes industry dynamics. If Intel vertically integrating modems ends up reducing costs, by cutting out the middle man (the foundry), this could shift competitive advantage to foundries that have internal LSI divisions. The early indication is that, indeed, Intel has some sort of advantage over Qualcomm and has won the Apple modem business.
TSMC execution is flawless, Intel execution is flawed but hasn't affected profitability, and Samsung Foundry and GF are executing well enough to be profitable.
TSMC is slowing down? This is kind of don't match with what everyone says. TSMC itselfs reports high number of tape-outs on 7nm with 7+, 5 and 3 nanometer in following years. There is AMD, which is moving from GloFo to TSMC with not only GPUs, but also with server CPUs (for the first time). And then there are rumors that even Qualcomm can switch to TSMC. Well, basically every mobile designer have this year flagship SOCs made on 7nm. And every company in industry will use 7nm. Even Intel announced that their AI or ADAS chips will be TSMC made (plus there are rumors that Altera FPGAs are swhitching back to TSMC again).

So how exactly are they slowing while competition is growing at their cost? (i gues this is what you mean by erosion).
 
TSMC is slowing down? This is kind of don't match with what everyone says. TSMC itselfs reports high number of tape-outs on 7nm with 7+, 5 and 3 nanometer in following years. There is AMD, which is moving from GloFo to TSMC with not only GPUs, but also with server CPUs (for the first time). And then there are rumors that even Qualcomm can switch to TSMC. Well, basically every mobile designer have this year flagship SOCs made on 7nm. And every company in industry will use 7nm. Even Intel announced that their AI or ADAS chips will be TSMC made (plus there are rumors that Altera FPGAs are switching back to TSMC again). So how exactly are they slowing while competition is growing at their cost? (i guess this is what you mean by erosion).

Agreed. TSMC is dominating tape outs in all nodes especially 7nm. 5nm is too soon to tell but I do not expect to see any out of the ordinary erosion. I do not see Altera moving back to TSMC but I will check on that.
 
Back
Top