Array
(
    [content] => 
    [params] => Array
        (
            [0] => /forum/index.php?threads/ian-interview-with-pat-gelsinger.19694/
        )

    [addOns] => Array
        (
            [DL6/MLTP] => 13
            [Hampel/TimeZoneDebug] => 1000070
            [SV/ChangePostDate] => 2010200
            [SemiWiki/Newsletter] => 1000010
            [SemiWiki/WPMenu] => 1000010
            [SemiWiki/XPressExtend] => 1000010
            [ThemeHouse/XLink] => 1000970
            [ThemeHouse/XPress] => 1010570
            [XF] => 2021370
            [XFI] => 1050270
        )

    [wordpress] => /var/www/html
)

Ian Interview with Pat Gelsinger

Daniel Nenni

Admin
Staff member
There are some interesting points on this one:

Pat: Yeah, and explaining that statement a little, I’m already the #2 foundry in the world. If you count internal wafers. So when I say #2 foundry by 2030, I mean [for our] external foundry [business only]. That is a very substantive statement because we’re saying that we’re going to be, by revenue, the #2 foundry by the end of the decade. That’s the goal that I’ve set out for our team, and that’s above the revenue that I get from the internal foundry business. So it’s a combination from both. I believe it’s going to be a big number by the end of the decade, but we’re trying to be very transparent. I’ll say, appropriately comparable, my external foundry will be #2 in the industry - obviously we expect TSMC to be #1, and by then we’ll have bypassed GlobalFoundries, UMC and Samsung as the #2 revenue foundry in the world.

D.A.N. I did not know this. This is significant. IFS will pass Samsung without internal revenue even though Samsung counts internal revenue? Given that TSMC N3 has all of the design wins and TSMC N2 is expected to be even bigger Samsung maybe easier to beat? Or will Intel remove Samsung internal revenue from the calculation?

Pat: Well, Foveros and CoWoS are pretty comparable. They’re a little bit different. Clearly due to some of the supply limitations that you described, some of our advanced packaging customers today are taking Foveros, and we’re helping them to be able to move their CoWoS designs to Foveros. It’s just taken up by supply chain, and ‘hey, you have advanced packaging capabilities’ - we can do Foveros with you. This gives us more volume of our AI chips, and most of these are AI customers, so this gives us what I call the “fast on-ramp” into the foundry business.

But now that we’re starting to work with them, we can start to explain that CoWoS and Foveros are square functions - you're scaling in both the X and the Y, and that’s a pretty expensive base die. If we use EMIB for the high performance bridged connection, that is way more cost effective in a lot of circumstances [than a single large base die], and the customers are starting to look at our packaging technologies. They’re saying “wow, so I can use some for EMIB and some for Foveros and get a more economic and high performance design, that’s pretty interesting”, and then they’re starting to see some of the advanced testing capabilities that we provide. When you're bringing many of these components together onto an advanced package, all of a sudden the individual die or chiplet, and the testing associated with that, becomes very critical in the overall yield of the cost structure of the full complex advanced package. So we do full performance simulated die test, another differentiated capability. Then we tell them about Foveros Direct - now I can do full die-on-die 3D assembly. So I’m innovating in X,Y and Z dimension, and I’m able to pick which transistors I’m moving to the most advanced node, or which ones like analog or cache cells might be better on a node or two behind - being able to put those together with sub-10 micron bump pitch technology. These are pretty exciting capabilities, so we expect this to be a pretty meaningful on ramp for our overall foundry customers - that not only are we a second supplier, but we become their best supplier.

D.A.N. I mentioned this before, TSMC being packaging limited opens the door for IFS. TSMC refusing to do packaging for non TSMC wafers may be an issue. While they are packaging limited I understand it. But with the chiplet revolution coming on strong TSMC will have to change this TSMC wafer only policy.

The economics of HNA-EUV was also very interesting. There is a lot more, give it a listen and let me know what you think:

 
I've known PG for more than 20 years. I've never seen or heard him being so coherent, well-informed, technically impressive, able to connect and intersect multiple diverse technologies - in detail, and cogently explain complex business issues, and only once did I hear him say the words "I" or "me".

Was this an AI-generated interview, or was this reality?
 
I've known PG for more than 20 years. I've never seen or heard him being so coherent, well-informed, technically impressive, able to connect and intersect multiple diverse technologies - in detail, and cogently explain complex business issues, and only once did I hear him say the words "I" or "me".

Was this an AI-generated interview, or was this reality?

I saw it happening so it is real. Ian is a really smart guy so he is not one to FUD with.
 
The only clear miss I saw was the UMC answer:

Ian: Why did you need to bring UMC in - what did they have that Intel didn't? Intel has all the equipment, you have the experience with 14nm and 10nm, so there is a bit of a misunderstanding about why even include UMC at all. Why couldn't you have done a PDK yourself?

Pat: We could have, and I don't think we would have learned nearly as much as we’re going to do with this partnership with UMC. They know how to create and support these customers - they have a rich set of customers that want to move on to this node. Those customers have been working the 16nm and their 22nm, and they want to move onto this node. But UMC also know how to do many PDKs rather than just one - the PDK for high voltage, for RF, for analog purposes, for power delivery purposes and so on. They’ve perfected how to get the portfolio of 12 done, and Intel doesn't do that. We essentially did one process node for essentially one class of design - being high performance leadership compute. UMC has mastered how to make multiple nodes off of one core investment. So we believe this is a great investment for them, because they're going to be expanding their supply base, using a factory that I’m going to have. The fab, while capitalized, will bring some level of new equipment in to deliver that 12nm, but mostly it’s in a factory that I’ve already built and have running. They're going to be able to go to their customers and say they have a more resilient supply chain, they have a US supply chain, alongside their Asian supply chain. So it’s good for their customers, and they already have customers that are anxious for this node. We’ve had a great response from some of their customers, saying they’re ready to move major design volume to this as well. I think we’re going to be able to take this factory, learn a lot through the process as well. What if I put my best people working on a 12nm node? I think UMC has talent and expertise here that’s just going to complement the Intel factories. I’m really quite excited about the partnership, super excited that Jason Wang (UMC Co-President) is here [at the event], and I think It’s going to be a winner for both of us.

D.A.N. UMC does not have a rich set of customers. UMC has a handful of customers and it is a declining number. UMC does not have experience with FinFET PDKs. UMC is known for TSMC compatible CMOS PDKs and that will not happen at 12nm. Since UMC did not get their own 14nm PDKs into HVM UMC customers moved to TSMC or GF for FinFETs. TSMC and GF now have very competitive offerings at 12nm with many variations that have been in HVM for 10+ years. I see no downside here for Intel but this is a desperation move for UMC.
 
Last edited:
I thought this was a really good interview ‘on both sides’ (Ian did a good job too). The AI customers using EMIB and packaging info as stated was good. I also liked how he talked about how Intel ‘sells’ 18A to prospective customers — “We’re betting our own company on this node” which should indicate Intel is serious about making it work perfomantly and on-time.

The 386 development anecdote at the very end was fun too :).

I think TSMC is facing a little bit of an unequal battle here. Intel is getting a lot of fresh investment capital from CHIPS and other acts, and is corralling some of TSMC’s competition under a strong house. I love competition and look forward to seeing what happens next.

I’d love to hear more comments from this forum about Pat’s statement that the economics of EUV have halted as shrinks have occurred, but High NA will restore that scaling. I do see AMD is a bit slow to adopt 3nm for CPUs outside of high margin server (later this year). Nvidia GPU pricing per transistor hasn’t really improved gen over gen; though some of that is gouging due to market conditions. I’m curious how true Pat’s comment was there.
 
I’d love to hear more comments from this forum about Pat’s statement that the economics of EUV have halted as shrinks have occurred, but High NA will restore that scaling.
Me too. How can a machine that costs "four hundred million ish" improve economics? Work faster? That quip sounded to me like PG justifying his expensive initial purchase of one of these monsters. Perhaps one of the fabrication experts here could explain how PG's comment could possibly be real.
 
Me too. How can a machine that costs "four hundred million ish" improve economics? Work faster? That quip sounded to me like PG justifying his expensive initial purchase of one of these monsters. Perhaps one of the fabrication experts here could explain how PG's comment could possibly be real.
I won't pretend to be an integration expert, but I assume that is inline with what I was talking about (that it would be a similar if less extreme idea to DUV vs EUV). ASML wants to claim that the tool is the same speed at iso dose even printing half fields, but let's say they are full of it and @iso real doses like the ones posited by IBM in that GAA paper I linked earlier, that the tool is actually 50% the speed. Like with immersion high-NA increases the NA, so it is increasing the resolution at same dose. Maybe once MOR and DOF issues get brought under control, you can try to deal with the thin resit and stochastic defects issues that Fred has talked about. Maybe using your extra effective resolution to get better fidelity at same pitch? Obviously the more sexy thing to do is use the better resolution at your already high does to swap a SALELE layer to direct print (feature+cut/block done in 1 step) or double patterning (ie feature+1 seperate cut/block mask). Doing that you are saving 10s of millions of dollars in etch, deposition, CMP, and metrology tools. On the litho side you have to buy less EUV steppers, less spin coaters, and less inspection tools. Most importantly perhaps you also need WAY less floor space, which means your fab can have more WSPM.

Combining the 50% speed assumption with the tool costing like 1.6-2x means that the tool needs to provide let's call it 3-4x TVO. In a case where the patterning technique doesn't change, if the better fidelity directly corresponds to yields or parametrics then 3x might be justifiable given how expensive the wafer will likely be. In the case where patterning scheme complexity is reduced you might be able to get close to that 4x number on tool cost alone. Just for the litho tools alone SALELE has 2 exposures for LE1/2 and 1-2 more for the cut vs 1 High-NA exposure for direct print or 2 for double patterning. And that is ignoring the far more important thing for cost (cycle time). A high-NA stepper doing direct print could easily run faster then a low-NA stepper doing SALELE at practically any dose. Time spent waiting in line for a tool is wasted time, having a shorter flow means less time waiting and less time processing allowing for the fab operator to recognize revenue sooner. Faster cycle times are also faster yield learning, so that is another nice bonus.

Now before Fred shoots me, I know there is a lot of work that needs to be done for blur and the like to be dealt with, high-NA without MOR likely won't work super well, etc. Pat eluded to as much in the interview. But if/when those issues are dealt with I don't see how the same economics that justified direct print EUV and even EUV SALELE vs SAPQ for certain applications can't be used to justify high-NA for some of the middle resolution features or high-NA SALELE for features that need ultra fine resolutions that are beyond the effective fidelity of low-NA SALELE.
 
Last edited:
High-NA has less focus window for the same targeted feature, simple as that. Already well-known within the EUV community. And they have to stitch the large server dies in two exposures, because High-NA has half the field. So he hasn't been following SPIE, for example. Blur also disqualifies judging resolution based on NA.
 
Last edited:
High-NA has less focus window for the same targeted feature, simple as that. Already well-known within the EUV community. And they have to stitch the large server dies in two exposures, because High-NA has half the field. So he hasn't been following SPIE, for example. Blur also disqualifies judging resolution based on NA.
Thanks for the SPIE pointer. This is an invited paper from ASML at an upcoming (Feb 29) advanced lithography conference about High-NA:


The introduction claims they will discuss process simplification. The paper isn't available yet, but if you could get hold of it, Fred, it would be interesting to get your take on what they say.
 
Last edited:
High-NA has less focus window for the same targeted feature, simple as that. Already well-known within the EUV community. And they have to stitch the large server dies in two exposures, because High-NA has half the field. So he hasn't been following SPIE, for example. Blur also disqualifies judging resolution based on NA.
It's shocking to me that anyone in the semiconductor field doesn't know the fundamental relationship of resolution to wave length as well as DOF to wavelength and respectively the NA. I don't think CC, Mark, or any of the senior executives at TSCM don't know or would miss this complication.

I wonder among the Intel executives how many are like Pat, that is frightening, or trust the staff, until you end up with 10nm and their litho solution.

Is intel setting up for a 10nm FUBAR with high NA?
 
High-NA has less focus window for the same targeted feature, simple as that. Already well-known within the EUV community. And they have to stitch the large server dies in two exposures, because High-NA has half the field. So he hasn't been following SPIE, for example. Blur also disqualifies judging resolution based on NA.

My guess is that Intel will use HNA and regular EUV at 14A so they can claim first to HNA, even though HNA use will be limited. Remember, Intel will do chiplets so no stitching required.

Hard to believe Samsung will not be first at something. 😂 Go Intel!
 
Even with smaller dies, they may fit e.g., 3 x 3 in the 26 mm x 33 mm full field. They have to cut that in two (3 × 1.5) or scan 3 x 1 mask three times as much, which impacts productivity through stage overhead.
 
It's shocking to me that anyone in the semiconductor field doesn't know the fundamental relationship of resolution to wave length as well as DOF to wavelength and respectively the NA. I don't think CC, Mark, or any of the senior executives at TSCM don't know or would miss this complication.

I wonder among the Intel executives how many are like Pat, that is frightening, or trust the staff, until you end up with 10nm and their litho solution.

Is intel setting up for a 10nm FUBAR with high NA?
The emperor has no key details.
 
So the first question of the interview is already the High-NA economics, and Pat admits he is the one pushing for a larger mask size for High-NA.

Of course, with the field size, that becomes an issue if you go to larger field sizes, I’m challenging both ASML and my mask-making team to get me to bigger mask sizes so we can get the field size back, and maybe even bigger mask sizes to get even more economics out of EUV overall.

The mask industry infrastructure won't support such a transition (it's even worse than the 450 mm transition).
 
Back
Top