Array
(
    [content] => 
    [params] => Array
        (
            [0] => /forum/index.php?threads/euv-for-older-nodes.12962/
        )

    [addOns] => Array
        (
            [DL6/MLTP] => 13
            [Hampel/TimeZoneDebug] => 1000070
            [SV/ChangePostDate] => 2010200
            [SemiWiki/Newsletter] => 1000010
            [SemiWiki/WPMenu] => 1000010
            [SemiWiki/XPressExtend] => 1000010
            [ThemeHouse/XLink] => 1000970
            [ThemeHouse/XPress] => 1010570
            [XF] => 2021370
            [XFI] => 1050270
        )

    [wordpress] => /var/www/html
)

EUV for older nodes?

jaiyam

New member
I want to understand if EUV can have any impact on 10 nm or older nodes in a few years as EUV machines become more advanced and widely available. Almost all recent pre-EUV nodes use SADP, SAQP and a large number of masks to get the feature size lower than the diffraction limit of excimer lasers. It is conceivable that in future, as EUV machines become more widely available and advance, fabs would like to use the latest machines (highest power, lowest debris etc) for cutting edge nodes, freeing up some EUV machines. If so, it might make sense to use EUV for older nodes to reduce the cost of masks and increase WPH. This is total speculation on my part. I would like to know from experts if something like this could happen or if there is some other way EUV can impact older nodes or if EUV will never have any impact on 10 nm, 14 nm and older nodes. Since node names are not uniform across foundries, let's assume TSMC's nomenclature.
 
I want to understand if EUV can have any impact on 10 nm or older nodes in a few years as EUV machines become more advanced and widely available. Almost all recent pre-EUV nodes use SADP, SAQP and a large number of masks to get the feature size lower than the diffraction limit of excimer lasers. It is conceivable that in future, as EUV machines become more widely available and advance, fabs would like to use the latest machines (highest power, lowest debris etc) for cutting edge nodes, freeing up some EUV machines. If so, it might make sense to use EUV for older nodes to reduce the cost of masks and increase WPH. This is total speculation on my part. I would like to know from experts if something like this could happen or if there is some other way EUV can impact older nodes or if EUV will never have any impact on 10 nm, 14 nm and older nodes. Since node names are not uniform across foundries, let's assume TSMC's nomenclature.

Some issues are not related to resolution like pellicles and collector lifetime, which affect tool availability. EUV tools currently run at 1000-1500 wafers per day.

Also the throughput of immersion tools (>4000 wafers per day) becomes more advantageous at looser nodes, and there is less disadvantage from multipatterning on fewer layers. 16nm is 2P2E double patterning on several layers, about 60 masks.

Some EUV-specific issues remain, such as related to the 3D nature of masks (unideal phase shifts, shift of best focus for different pitches).

Stochastics is complicated and needs to be checked for the 2X design rules. If we assume the standard cell pitches and feature sizes all scale up in proportion, the shot noise per diffraction pattern doesn't change, since there are proportionally more diffraction patterns for the larger cell pitch (number proportional to cell x-pitch * cell y-pitch), and each needs to be equally clean. This means the dose requirement is the same, and it has to be very high.
 
Last edited:
I checked the 32 nm - 72 nm line pitches, when considering the illumination angles for best focusing. For the 64 and 72 nm pitches, the illumination for best focusing is positioned a little differently from the tighter pitches; a larger horizontal sine is needed. After 18 degree rotation at the slit edge, the focusing benefit is lost due to the path differences generated by the rotation. At 30 nm defocus, at least a ~25-30 degree phase error range between the two image-forming beams is unavoidable.
phase difference vs tangential sine (32-72 nm pitch).png

So loosening the pitch did not get away from the problems which were more obviously predicted at the tightest pitches. Above 72 nm, we are back into immersion resolution territory. Without the rotation effect, it would be the flat line with phase difference of 0 all the way across.
 
Last edited:
For the optimized illumination for 2D line cut (or via) application, the 56 nm case (for 40 nm pitch metal) has a significantly lower pupil fill than the 50 nm case. It's because there are more diffraction order combinations, the ideal would be a smaller fraction of the whole range. Since it is less than 20%, it means the throughput will be affected on the NXE 3400. As a result of this excessive pupil fill reduction, the 2D applications get worse with larger pitch due to more diffraction orders involved from larger pitch. There is also rotation sensitivity (like the 1D case) with this crosspole shape, which could also lead to more pupil fill reduction for smaller pitches as in the previous post. And this is still not yet including the stochastic concerns.

2D cut pitch illumination.png
 
Last edited:
I came across this 2019 paper by S. Das et al., "E-beam inspection of single exposure EUV direct print of M2 layer of N10 test vehicle," Proc. SPIE 10959, 109590H (2019), which showed surprisingly severe EPE (edge placement error) issues. It is expected to be related to the local EUV shadowing of EUV mask features, but there is also a noticeable stochastic component. This was happening even for 10nm design rules (24 nm metal half-pitch). It was indicated the illumination consisted of two upper and two lower poles with different shadowing, this could be a source of dose splitting leading to stochastics. It is a subtle consequence of the 3D aspects of EUV masks.

Update 3/29/2021: the paper can be accessed here: https://www.researchgate.net/public...t_print_of_M2_layer_of_N10_node_test_vehicle; it was to large to attach here directly.

Stochastic EPE.png
 
Last edited:
For 14/16nm node, the minimum pitch of 64 nm is expected. Optimizing the illumination for 64 nm pitch to minimize stochastics (by only allowing specified beams to produce the image) could pose problems of photon division (among different sets of specifed beams) for a larger pitch such as 96 nm.
64 nm pitch illumination with specified beams splits into different cases for 96 nm pitch.png

Likewise, for 10nm node (48 nm minimum pitch), the imaging is already dominated by two-beam interference for 48 nm pitch, but this still divides photons among several different sets of specified beams for larger pitches.
48 nm pitch 2-beam illumination splits into different cases for 64 nm and 96 nm pitch.png

Each set of specified beams has a different photon number, much lower than the number representing the total dose. These stochastic concerns could drive LELE or even LELELE use by EUV.
 
Last edited:
Back
Top