Array
(
    [content] => 
    [params] => Array
        (
            [0] => /forum/index.php?search/241453/&c[users]=Asic+With+Ankit&o=date
        )

    [addOns] => Array
        (
            [DL6/MLTP] => 13
            [Hampel/TimeZoneDebug] => 1000070
            [SV/ChangePostDate] => 2010200
            [SemiWiki/Newsletter] => 1000010
            [SemiWiki/WPMenu] => 1000010
            [SemiWiki/XPressExtend] => 1000010
            [ThemeHouse/XLink] => 1000970
            [ThemeHouse/XPress] => 1010570
            [XF] => 2021370
            [XFI] => 1050270
        )

    [wordpress] => /var/www/html
)

Search results

  1. Asic With Ankit

    System Verilog "ref" is a nice reference instead of "value", Isn't it?

    Pass by reference is an interesting and very useful feature in system verilog. Very useful and importatn topic to understand and you might hit this as interview question in your next verification interview. This one is one of the very commonly asked interview question. Lets understand... To...
  2. Asic With Ankit

    "Class" - The Classical feature !!

    Dear Readers, Let's understand the classical feature of System Verilog 'Class'. Here I would try to explain on class feature, object properties and methods, object instantiation, class methods polymorphism and constructor concept. What is class and why is it classical :), Lets understand...
  3. Asic With Ankit

    What is "this" in System Verilog ?

    Dear Readers, Here I would like to share some understanding on keyword called"this". What is "this" in System Verilog? How does it used? Usage of"this" is simple but important in test bench development. First of all lets understand What is "this" in System Verilog? "this" is a key word in...
  4. Asic With Ankit

    System Verilog Queues which can shrink and grow !

    Dear Readers, System Verilog has new data type called ‘queue’ which can grow and shrink. With SV queue, we can easily add and remove elements anywhere that is the reason we say it can shrink and grow as we need. Queues can be used as LIFO (Last In First Out) Buffer or FIFO (First In First Out)...
  5. Asic With Ankit

    Verilog to System Verilog : A Successful journey towards SV

    Dear Readers, We have been using standard languages and methodologies for ASIC/FPGA design and Verification activities. We as an engineer must know on history of verification activities. Today we mostly work on verification standard languages like System Verilog. The whole industry is moving to...
  6. Asic With Ankit

    System Verilog : Which final is Final ?

    Dear Reader, Recently I posted one blog post “System Verilog Final Means Final !” As we all know final means final in system Verilog, Final block will get called at the end of the simulation before $finish. Now with this understanding we can have few questions. Recently I have received an...
  7. Asic With Ankit

    System Verilog : Final means Final !

    Dear Readers, Today I would like to share some basic things on ‘final’ block in System Verilog. This is a newly added feature in System Verilog over Verilog. Final block is good for summary information. You can have summary information printed in log file at the end of simulation. Final block...
  8. Asic With Ankit

    ASIC-FPGA Design Verification: Running Short of Business or Resource?

    Dear Readers, As we all know the semiconductor market looks good now days and lots of companies are hiring talents for 2013-14 projection. If we keep this projection in mind, we could say there would be a business for the companies for upcoming years. Now, the billion dollar question: ‘how...
  9. Asic With Ankit

    The two Door Keepers: An Assertion, to make sure bad thing does not happen and covera

    Dear Readers, The two Door Keepers: An Assertion, to make sure bad thing does not happen and coverage, to make sure Good thing happens! As we all know SVA (System Verilog Assertions) and SV Coverage are playing major role in test bench implementation which helps us achieving maximum confidence...
  10. Asic With Ankit

    Most of the re-spins are due to Functional defects?

    Dear Readers, I have been hearing on re-spins of chips. Many companies have gone through this painful phase because of several reason/defects. Nobody likes re-spin for chip as it is expensive and time consuming! Companies have a fear to loose time to market for their products because of this...
  11. Asic With Ankit

    System Verilog : Ignoring function's return value!

    Dear Readers, functions and tasks are the very useful features in Verilog/System Verilog. We have been using these features since long in our test bench development. Though 'function' is available in verilog as well as in System Verilog, there is difference! Now you may want to know what is...
  12. Asic With Ankit

    System Verilog : Functional Coverage Options features

    Dear Readers, Functional Coverage is very important in Test Bench Design. It gives us a confidence on covered items listed on verification plan/items. Usually the goal of verification engineer is to ensure that the design behaves correctly in its real environment. Defining coverage model is...
  13. Asic With Ankit

    SVA : System Verilog Assertions - Dynamic Control Methods to control Assertions

    Dear AwA Readers, System Verilog assertions are becoming popular now a days and industries are adopting SVA as part of their verification environment. SVA (System Verilog Assertions) are useful in many areas in design as well as in Verification. There has been a debate going on since long on...
  14. Asic With Ankit

    Technology Product Services : "Putting Eggs On More Baskets"

    Dear Readers, We could see many semiconductor product technology services companies have been launched in last few years. The Entrepreneurs are moving ahead with their technology and business experience. Most of the companies are able to make the success in Product Services, Pure Services...
  15. Asic With Ankit

    SVA : System Verilog Assertion is for Designer too!!

    Dear Reader, I have been hearing one question over SVA is "Is System Verilog Assertion is for Designer too?". Usually the impression is 'System Verilog is for Verification'. I agree with this impression to some extend but there are some strong constructs in SV which adds values for designers...
  16. Asic With Ankit

    Plus args in System Verilog is Plus point !!

    Dear Readers, 'Plus args in System Verilog is Plus point !!' Statement itself says that here I am going to share on some plus points and how to control Plus args in very popular design verification language called System Verilog (SV). Plus args are command line switches supported by the...
  17. Asic With Ankit

    Debugging is not free!!

    Dear Readers, Debugging is not free!! Looks very true statement for ASIC Engineers especially who are contributing/working on Verification. Any test bench must be planned and test bench supports debug is no exception! Debugging large test benches has changed recently. The test benches are...
  18. Asic With Ankit

    SV Macros : Basic with some Interesting facts!!

    Dear Readers, Recently I found very interesting thing about ‘macro’, Let me discuss this in detail. First let's understand what is macro and how is it useful for us ? What is Macro ? A macro is a literal name used in a program that is substituted by some value before the program is compiled...
  19. Asic With Ankit

    USB 3.0 : Future is here, Its time for Super Speed !! : ASIC With Ankit

    Dear AwA Readers, Well, its quite common and usual that a common man knows about USB at least by its name! We as an Engineer at least know what is USB devices and how are they being used in our day to day life. I was working on USB (USB 1.0/2.0) protocol 2-3 years back, during that time people...
  20. Asic With Ankit

    Importance of Constrained Random Verification Approach

    Importance of Constrained Random Verification Approach : ASIC With Ankit As a verification Engineers we must know what technique should be used in Test Bench development to verify IP, FPGA or any ASIC/SoC Verification. I have been hearing many ideas, techniques and approaches on Directed...
Back
Top