Semiwiki on LinkedIn Semiwiki on Facebook Semiwiki on X.com Semiwiki RSS Feed Register / Log In
  • Home
  • Wiki
  • Forum
  • EDA
    • AMIQ EDA
    • Aniah
    • Breker Verification Systems
    • Bronco AI
    • Cadence
    • ClockEdge
    • ChipAgents AI
    • Defacto Technologies
    • Easy-Logic
    • Empyrean
    • Keysight EDA
    • LUBIS EDA
    • Moores Lab (AI)
    • PDF Solutions
    • Perforce
    • Mach42
    • Rise Design Automation
    • Siemens EDA
    • Silvaco
    • Synopsys
    • S2C EDA
    • Undo
    • yieldHUB
  • IP
    • Agile Analog
    • Akeana
    • Alphacore
    • Analog Bits
    • Andes Technology
    • Arteris
    • CAST
    • Certus Semiconductor
    • Ceva
    • Keysom
    • Mixel
    • Quadric
    • SiFive
    • Semidynamics
    • Silicon Creations
    • sureCore
    • Synopsys
    • Weebit Nano
  • Services
    • Accellera
    • Aion Silicon
    • Alchip
    • Axiomise
    • IPnest
    • NetApp
    • Samtec
    • Semiconductor Advisors
    • Semiconductor Intelligence
    • Silicon Catalyst
    • TechInsights
    • Tuple Technologies
  • Foundries
    • Intel Foundry
    • Soitec
    • TSMC
  • Analytics
    • PDF Solutions
    • proteanTecs
    • yieldHUB
  • Security
    • Caspia Technologies
    • Cycuity
    • PQShield
    • Secure-IC
  • Books
  • Job Board
  • Podcast
  • Videos
  • LATEST NEWS:
  • CEO Interviews
  • EDA
  • IP
  • Chiplet
  • TSMC
  • Intel Foundry
  • RISC-V
  • Arm
  • AI
  • Analytics
  • Automotive
  • 3D IC
  • Security
  • Events
Semiwiki on LinkedIn Semiwiki on Facebook Semiwiki on X.com Semiwiki RSS Feed Register / Log In
Guests have limited access.
Join our community today!
You are currently viewing SemiWiki as a guest which gives you limited access to the site. To view blog comments and experience other SemiWiki features you must be a registered member. Registration is fast, simple, and absolutely free so please, join our community today!
80 events found.

Events Search and Views Navigation

Event Views Navigation

  • List
  • Month
  • Day
Today
  • October 2025

  • Mon 20
    Screenshot 2025 08 27 212135
    October 20 - October 23

    SPIE Optifab 2025

    Join colleagues at the largest optical manufacturing conference and exhibition in North America SPIE Optifab is the premier event to meet with top companies and learn about the latest optical fabrication technologies. Organized jointly by SPIE and APOMA, Optifab is the largest optical manufacturing conference and exhibition held in North America. In 2025 the meeting …

    Continue reading "SPIE Optifab 2025"

  • Tue 21
    Semiconductor Traceability
    October 21 @ 8:30 AM - 5:00 PM

    Semiconductor Traceability and Provenance Workshop

    The National Institute of Standards and Technology (NIST) will host the Semiconductor Traceability and Provenance Workshop on Tuesday, October 21, 2025, at its campus in Gaithersburg, Maryland. This in-person, one-day event builds on the momentum from the April 2025 workshop on Trust and Provenance in the Semiconductor Supply Chain, which identified traceability as the top priority …

    Continue reading "Semiconductor Traceability and Provenance Workshop"

  • Wed 22
    Screenshot 2025 07 18 104627
    October 22 - October 23

    RISC-V Summit North America 2025

    RISC-V is defining the future of open computing by providing unprecedented freedom to innovate. More than 13 billion RISC-V cores have shipped, powering new innovations in AI/ML, wireless, automotive, data center, space, IoT, embedded and more. Each day, thousands of engineers around the world collaborate and contribute to advance RISC-V. The RISC-V community shares the …

    Continue reading "RISC-V Summit North America 2025"

  • Wed 22
    Screenshot 2025 08 18 160645
    October 22 - October 23

    CadenceCONNECT: Photonics and Quantum Technologies

    San Jose, CA

    Event Summary The year 2025 is both a turning point for integrated photonics as a key enabler for AI, physical and infrastructure interconnect, and the International Year of Quantum (IYQ). In our event, we are going to explore the enabling technologies shared by these two exciting fields. While these systems need to exist in a …

    Continue reading "CadenceCONNECT: Photonics and Quantum Technologies"

  • Wed 22
    Screenshot 2025 08 27 201435
    October 22 @ 9:00 AM - 10:00 AM

    Webinar: Pushing more power with CoolGaN™: design, layout and thermal management

    Webinar date: 22.10.2025 | 9 AM CEST | 5 PM CEST Join our webinar and learn about the fundamentals of Gallium Nitride (GaN) technology and its applications in power systems. You will gain a deep understanding of gate drive circuit design, layout steps, and thermal management guidelines for GaN transistors and applications in high-switching frequency …

    Continue reading "Webinar: Pushing more power with CoolGaN™: design, layout and thermal management"

  • Wed 22
    Screenshot 2025 06 12 133648
    October 22 @ 10:00 AM - 3:00 PM

    Achieving Timing Closure in FPGA Designs Workshop

    Achieving Timing Closure in FPGA Designs Workshop Do you find it challenging to close timing in your FPGA design? This workshop will guide you through leveraging AMD Vivado’s tools, optimizing your design, and applying best practices for static timing analysis to achieve reliable timing closure. Gain hands-on experience with timing closure techniques and learn strategies to improve …

    Continue reading "Achieving Timing Closure in FPGA Designs Workshop"

  • Wed 22
    Screenshot 2025 09 29 234047
    October 22 @ 11:00 AM - 12:00 PM

    Webinar: 5 Expectations for the Power Market in 2026

    October 22, 2025 - 11:00 AM EST    October 23, 2025 – 10:00 AM JST/KST Discover the 5 Critical Power Market Trends Reshaping Semiconductors in 2026 Wide-bandgap disruption, PMIC innovation, and datacenter power demand, what’s next for power semiconductors. The rise of AI datacenters is driving unprecedented demand for power, creating both massive opportunities and major …

    Continue reading "Webinar: 5 Expectations for the Power Market in 2026"

  • Thu 23
    updated chips act web banner text 3000 x 1000 px 2048x683
    October 23

    EU Chips Act 2.0 Webinar

    Europe's Role & Chips Act 2.0 Priorities The European Union is currently developing a new version of the Chips Act program. The European Chips Act 2.0 represents a strategic initiative to strengthen the European semiconductor ecosystem. Building on the original Chips Act adopted in 2023, this updated framework aims to address emerging technologies such as …

    Continue reading "EU Chips Act 2.0 Webinar"

  • Thu 23
    Synopsys IP Designs Edge AI 400x400
    October 23 @ 10:00 AM - 11:00 AM

    Webinar: IP Design Considerations for Real-Time Edge AI Systems

    *Work Email Required* Edge AI systems increasingly require on-chip integration of large-capacity memory, compute engines, and inference-optimized accelerators—all within strict power, latency, and footprint constraints. This webinar provides a an overview of IP architecture and integration methodologies that support real-time AI workloads at the edge. We’ll cover: • Memory and compute efficiency: Techniques for optimizing …

    Continue reading "Webinar: IP Design Considerations for Real-Time Edge AI Systems"

  • Fri 24
    Screenshot 2025 08 20 145418
    October 24

    2025 TSMC Open Innovation Platform Ecosystem Forum – Tokyo

    Join us for the 2025 TSMC OIP ECOSYSTEM FORUM Get ready for an electrifying dive into the future of semiconductor design at the 2025 TSMC Global Open Innovation Platform® (OIP) Ecosystem Forum! This isn't just an event; it's a dynamic hub where the brightest minds converge to ignite the next wave of innovation. As the AI …

    Continue reading "2025 TSMC Open Innovation Platform Ecosystem Forum – Tokyo"

  • Sun 26
    Screenshot 2025 07 14 032955
    October 26 - October 30

    ICCAD 2025

    The 2025 International Conference on Computer-Aided Design Call For Papers Jointly sponsored by IEEE and ACM, IEEE ICCAD is the premier forum to explore new challenges, present leading-edge innovative solutions, and identify emerging technologies in the electronic design automation research areas. IEEE ICCAD covers the full range of CAD topics – from device and circuit …

    Continue reading "ICCAD 2025"

  • Tue 28
    Screenshot 2025 09 04 013215
    October 28 @ 9:00 AM - 10:00 AM

    Webinar: Rapid Design Space Exploration of AI functions on Lattice FPGAs using Catapult High-Level Synthesis

    The increasing demand for accelerated computing solutions calls for an agile hardware design methodology to be able to keep up with fast evolving landscape of algorithms. Traditional hardware design methodology has long development cycles involving defining architecture, doing microarchitecture development using RTL, and performing verification. An agile workflow requires being able to iterate through the …

    Continue reading "Webinar: Rapid Design Space Exploration of AI functions on Lattice FPGAs using Catapult High-Level Synthesis"

  • Wed 29
    Screenshot 2025 07 18 104937
    October 29 - October 30

    CadenceCONNECT: Jasper User Group 2025

    CadenceCONNECT: Jasper User Group 2025 is October 29 and 30 in San Jose, CA. This interactive, in-depth technical conference connects designers, verification engineers, and engineering managers from around the world to share the latest design and verification practices based on Cadence’s Jasper formal verification technologies and methodologies. The Jasper User Group Conference is the premier …

    Continue reading "CadenceCONNECT: Jasper User Group 2025"

  • Wed 29
    Screenshot 2025 09 23 153345
    October 29 @ 9:00 AM - 10:00 AM

    Webinar: Accelerating RTL-to-GDS digital implementation with generative and agentic AI: powered by Aprisa AI & the Siemens EDA AI System

    As digital chip design complexity grows, engineering teams face increasing pressure to meet aggressive PPA targets on tight schedules. To overcome this challenge, the EDA industry requires a revolutionary shift towards AI. Siemens EDA is leading this transformation by implementing a comprehensive strategy that combines machine learning, reinforcement learning, generative, and agentic AI across the …

    Continue reading "Webinar: Accelerating RTL-to-GDS digital implementation with generative and agentic AI: powered by Aprisa AI & the Siemens EDA AI System"

  • Wed 29
    6aa32750 74d5 44ba aae6 84fdf47dd3ba
    October 29 @ 11:00 AM - 12:00 PM

    Webinar: Exploring AMD Kria SOM for ROS 2 Multi-Node Communications with TSN Acceleration

    Description BLT, an AMD Premier Design Services Partner and Authorized Training Provider, presents this webinar. Unlock the potential of the AMD Kria SOM and discover Time-Sensitive Networking (TSN) benefits for your applications. In this session, you’ll explore the TSN-ROS application and its role in enhancing communications within a TSN framework. Join our hands-on demonstration to …

    Continue reading "Webinar: Exploring AMD Kria SOM for ROS 2 Multi-Node Communications with TSN Acceleration"

  • Wed 29
    Screenshot 2025 09 29 234253
    October 29 @ 11:00 AM - 12:00 PM

    Webinar: 5 Expectations for the Memory Market in 2026

    October 29, 2025 - 11:00 AM EST    October 30, 2025 – 10:00 AM JST/KST Discover the 5 Critical Memory Market Trends Reshaping Semiconductors in 2026 AI workloads, HBM4 adoption, and 3D NAND scaling—what’s next for the memory industry in 2026. The memory semiconductor industry is entering a critical inflection point. Explosive AI workloads are pushing …

    Continue reading "Webinar: 5 Expectations for the Memory Market in 2026"

  • November 2025

  • Mon 3
    Screenshot 2025 06 24 085557
    November 3 - November 6

    Semitracks Course: Wafer Fab Processing

    Semiconductor and integrated circuit developments continue to proceed at an incredible pace. The industry as a whole has gotten to this point of incredible complexity through the process of countless breakthroughs and developments in wafer fab processing. Today's wafer fab contains some of the most complex and intricate procedures ever developed by mankind. Wafer Fab Processing is …

    Continue reading "Semitracks Course: Wafer Fab Processing"

  • Mon 3
    Virtual Training AdvancedPackaging (Asia) September 2025 800x800 Shopify Semiconductor Ecosystem Overview July v1.jpg
    November 3 @ 8:30 AM - 5:00 PM

    Webinar: Advanced Packaging and Material Characterization for Microelectronics: SEMI Training

    Strengthen your knowledge and skills by learning about new packaging technologies in Fan-in, Fan-out WLP, Embedded packaging technology, System on Chip (SOC), System in Package (SiP), 3D IC, WLP, TSV, etc. Packaging knowledge is a must for professionals in the semiconductor industry. The first part of this course dives deep into advanced packaging. Part 2 …

    Continue reading "Webinar: Advanced Packaging and Material Characterization for Microelectronics: SEMI Training"

  • Tue 4
    Screenshot 2025 10 22 032256
    November 4 - November 5

    PIC Summit Europe 2025

    Scaling Together in a Dynamic World The photonic chip industry is reaching new heights - but scaling production, applications, and investments requires a united effort. As demand surges for high-speed, energy-efficient solutions, the question isn’t if photonic chips will revolutionise industries, but how fast we can make it happen. At PIC Summit Europe 2025, we …

    Continue reading "PIC Summit Europe 2025"

  • Tue 4
    logoFull
    November 4 - November 6

    Embedded World North America 2025

    Connect to the embedded community With its 20 years of history and experience in Europe, embedded world is the most professional and largest exhibition in its field, and has accumulated substantial industry and customer data and media resources. It gathers the leading enterprises of the global embedded industry, with its application range covering automotive electronics, …

    Continue reading "Embedded World North America 2025"

  • Tue 4
    1759132416 2c664ecb7afb35b8
    November 4 @ 10:00 AM - 11:00 AM

    Webinar: Design and Stability Analysis of GaN Power Amplifiers using Advanced Simulation Tools

    November 4, 2025 | 10:00 AM PST This webinar will present advanced simulation tools and techniques for the design of GaN power amplifiers with increased assurance of stable operation that goes beyond simple k-factor analysis. The methods will be demonstrated using Qorvo GaN technology and related non-linear models that have been modified to facilitate advanced …

    Continue reading "Webinar: Design and Stability Analysis of GaN Power Amplifiers using Advanced Simulation Tools"

  • Wed 5
    images
    November 5 - November 7

    Brooklyn 6G Summit 2025

    What is the Brooklyn 6G Summit The Brooklyn 6G Summit (B6GS) is a premier event for the global communications industry, bringing together leading voices from technology, business, academia and regulation to shape the future of wireless. Jointly organized by Nokia and the NYU WIRELESS research center at the NYU Tandon School of Engineering, the Summit …

    Continue reading "Brooklyn 6G Summit 2025"

  • Wed 5
    Screenshot 2025 10 17 021248
    November 5 @ 8:00 AM - 8:30 AM

    Webinar: Imaging Radar for Autonomous Systems: Patent Trends, Key Players & Technology Focus

    Details Imaging radar has rapidly evolved into a critical technology for autonomous systems, with patent activity accelerating significantly over the past decade. From 2015 to 2024, global imaging radar patent publications increased more than tenfold, fueled by the rise of autonomous driving, 4D radar integration, AI-based perception, and sensor fusion. This surge has created an …

    Continue reading "Webinar: Imaging Radar for Autonomous Systems: Patent Trends, Key Players & Technology Focus"

  • Wed 5
    Screenshot 2025 10 29 071423
    November 5 @ 8:00 AM - 9:00 AM

    Webinar: HLV – Formal Verification of Synthesizable C++/SystemC Designs

    High-Level Synthesis (HLS) is design flow in which design intent is described at a higher level of abstraction such as SystemC/C++/Matlab/etc. HLS tools are expected to synthesize this code to RTL which can be input to the traditional RTL downstream flow (RTL/GDS). Formal check tools are difficult to be analyzed on generated RTL (as the …

    Continue reading "Webinar: HLV – Formal Verification of Synthesizable C++/SystemC Designs"

  • Wed 5
    November 5 @ 9:00 AM - 2:00 PM

    Open Lab Day: Power Without Compromise

    Power testing is more complex than ever. From subtle low-power signals to multi-kilowatt loads, bulky setups and limited software often slow you down and take up valuable lab space. At Open Lab Day: Power Without Compromise, you’ll experience what’s next in power testing: faster validation, greater accuracy, and more capability in less space. Keysight’s newest power …

    Continue reading "Open Lab Day: Power Without Compromise"

  • Wed 5
    1759427613 7a1e7d60a0e237c6
    November 5 @ 10:00 AM - 11:00 AM

    Webinar: Insights on Spectrum for 6G

    About this event Join Roger Nichols, 6G Program Manager, for an insightful discussion on the 6G spectrum. He will cover the current status of 6G technologies, standards, and policies for the next generation of wireless, including developments from 2024 after the World Radio Conference. Who should attend this event? This webinar is for 6G researchers …

    Continue reading "Webinar: Insights on Spectrum for 6G"

  • Wed 5
    Screenshot 2025 10 22 035247
    November 5 @ 10:00 AM - 12:00 PM

    Digital Twin Models for Semiconductor Manufacturing Unit Process: A SEMI Master Class

    Join us for this engaging Master Class with Benyamin Davaji, PhD, Assistant Professor of Electrical and Computer Engineering at Northeastern University and Peter Doerschuk, Professor of Electrical and Computer Engineering and Biomedical Engineering at Cornell University, as they explore the role of digital twin models in advancing semiconductor manufacturing. The masterclass will highlight how data-guided …

    Continue reading "Digital Twin Models for Semiconductor Manufacturing Unit Process: A SEMI Master Class"

  • Wed 5
    Screenshot 2025 09 29 234430
    November 5 @ 11:00 AM - 12:00 PM

    Webinar: 5 Expectations for the Sensor Market in 2026

    November 5, 2025 - 11:00 AM EST    November 6, 2025 – 10:00 AM JST/KST Discover the 5 Critical Sensor Market Trends Reshaping Semiconductors in 2026 From 8K smartphones to AI at the edge—explore the next generation of image sensor innovation. The image sensor industry is shifting from traditional pixel scaling to functionality-driven differentiation, unlocking new …

    Continue reading "Webinar: 5 Expectations for the Sensor Market in 2026"

  • Wed 5
    November 5 @ 11:00 AM - 12:00 PM

    Webinar: Accelerate Thermal and Flow Optimization with Ansys optiSLang and Thermal Desktop

    Join our webinar to learn how Ansys optiSLang and Thermal Desktop tackle thermal and fluid challenges, optimize design, and enhance product performance with a vapor chamber use case. Date & Time: November 5, 2025 11AM EDT Venue: Virtual Overview In this webinar, discover how Ansys optiSLang and Ansys Thermal Desktop empower engineers to tackle complex …

    Continue reading "Webinar: Accelerate Thermal and Flow Optimization with Ansys optiSLang and Thermal Desktop"

  • Wed 5
    November 5 @ 11:00 AM - 12:00 PM

    Webinar: Accelerating the Manufacturing Process Using Simulation

    Join our webinar to explore how Ansys LS-DYNA enhances manufacturing simulations in sheet metal forming, welding, forging, and more, improving accuracy and workflow efficiency. Date/Time: November 5, 2025 11 AM IST Venue: Virtual Overview The demand for manufacturing simulations has been steadily increasing across industries, driven by the need for enhanced product quality, reliability, and cost …

    Continue reading "Webinar: Accelerating the Manufacturing Process Using Simulation"

  • Wed 5
    https cdn.evbuc.com images 1146954313 75197236605 1 original
    November 5 @ 5:00 PM - 7:30 PM

    Silicon Catalyst 2025 Semi Industry Forum

    On-the-Road to a $1 Trillion Industry Reaching $1 trillion in annual semiconductor sales by 2030 presents both significant challenges and offers compelling opportunities. About this event Reaching $1 trillion in annual semiconductor sales by 2030 presents both significant challenges and offers compelling opportunities. Demand is accelerating, driven by AI, automotive, data centers, and IoT - …

    Continue reading "Silicon Catalyst 2025 Semi Industry Forum"

  • Thu 6
    Screenshot 2025 07 18 105742
    November 6 - November 7

    Electronic Packaging Days 2025

    On 6 and 7 November, Fraunhofer IZM is inviting customers and partners from industry to Berlin for its “Electronic Packaging Days”. The event is designed to facilitate direct exchange between IZM researchers and companies. The aim is to present current research work and technological developments in the field of microelectronic packaging and heterogeneous system integration …

    Continue reading "Electronic Packaging Days 2025"

  • Thu 6
    image002[88]
    November 6 @ 5:30 PM - 9:30 PM

    Archetype: Conversations with Silicon Edition

    Archetype, a global tech communications consultancy, is co-hosting, with GV (fka Google Ventures) an exec, media and comms networking event in San Francisco on Thursday, November 6, from 5:30 to 9:30 p.m. at GV’s office in the Ferry Building. We’re bringing together well-respected observers and founders in the semiconductor and computing industries for an evening …

    Continue reading "Archetype: Conversations with Silicon Edition"

  • Thu 6
    Screenshot 2025 10 22 032457
    November 6 @ 6:30 PM - 9:00 PM

    Phil Kaufman Award Ceremony and Banquet

    The Phil Kaufman Award honors individuals who have had a demonstrable impact on the field of electronic system design through technology innovations, education/mentoring, or business or industry leadership. The award was established as a tribute to Phil Kaufman, the late industry pioneer who turned innovative technologies into commercial businesses that have benefited electronic designers. REGISTER HERE

  • Fri 7
    SXVN2025 EventTile 1 3.jpg
    November 7 - November 8

    SEMIEXPO Vietnam 2025

    As the global semiconductor landscape continues to evolve, Vietnam is positioning itself for a promising future in the semiconductor industry. SEMIEXPO Vietnam will be a pivotal event, exploring opportunities for businesses across the semiconductor value chain, from assembly and testing, to fabless/design. This premier event will highlight how local players can elevate Vietnam’s position in …

    Continue reading "SEMIEXPO Vietnam 2025"

  • Tue 11
    Screenshot 2025 06 10 150029
    November 11

    SemIsrael Expo 2025

    Connecting the Dots: Empowering the Semiconductor Community SemIsrael Expo 2025 is the premier professional semiconductor event in Israel. The event brings together hundreds of Israeli semiconductor professionals from all fields and aspects of the semiconductor industry. The Expo will host some 750 semiconductor professionals from all the Israeli semiconductor community; local fabless & startups, local …

    Continue reading "SemIsrael Expo 2025"

  • Tue 11
    Screenshot 2025 10 15 180018
    November 11 @ 9:00 AM - 10:30 AM

    Webinar: From RTL to Silicon: Qualcomm Closes the Power Gap with Module-Level Insights

    Traditional RTL low power design techniques such as sequential clock gating are widely deployed across the industry. Yet, even after multiple RTL revisions, residual power inefficiencies often remain undetected until silicon, resulting in missed optimization opportunities. This seminar presents a refined approach to conventional methodologies: a reporting and opportunity identification layer that sits atop clock …

    Continue reading "Webinar: From RTL to Silicon: Qualcomm Closes the Power Gap with Module-Level Insights"

  • Wed 12
    Screenshot 2025 10 29 071729
    November 12 @ 8:00 AM - 9:00 AM

    Webinar: Don’t Let VHDL Debugging Slow You Down! Use Questa One Sim

    Join us for this essential webinar where we'll explore how  Questa One Sim empowers VHDL designers to dramatically enhance their debugging productivity. We'll move beyond basic simulation viewing and dive into advanced features designed to pinpoint issues faster, understand design behavior more intuitively, and streamline your entire VHDL RTL debug workflow. This webinar is Part 1 of …

    Continue reading "Webinar: Don’t Let VHDL Debugging Slow You Down! Use Questa One Sim"

  • Wed 12
    1746045069 103c98f2493e62fc
    November 12 @ 10:00 AM - 11:00 AM

    Webinar: AI/ML Algorithm Design and Testing Toward 6G

    AI and machine learning (AI/ML) are reshaping wireless communications, promising faster, more efficient, and more intelligent networks. But bringing these algorithms into real-world environments isn’t simple — validation and testing remain major hurdles. In this webinar, industry expert Abhinav Mahadevan shares how to bridge that gap. You’ll learn: • How AI/ML can unlock smarter signal …

    Continue reading "Webinar: AI/ML Algorithm Design and Testing Toward 6G"

  • Wed 12
    Screenshot 2025 09 29 234617
    November 12 @ 11:00 AM - 12:00 PM

    Webinar: 5 Expectations for the Compute Market in 2026

    November 12, 2025 - 11:00 AM EST    November 13, 2025 – 10:00 AM JST/KST Discover the 5 Critical Compute Market Trends Reshaping Semiconductors in 2026 Datacenter accelerators, advanced nodes, and geopolitical tensions—what’s next for compute semiconductors. The compute semiconductor market is entering a transformative period. While datacenter accelerators drive rapid growth, traditional PC and mobile …

    Continue reading "Webinar: 5 Expectations for the Compute Market in 2026"

  • Thu 13
    1759871032 edc349cc38a32997
    November 13 @ 8:30 AM - 3:30 PM

    Aerospace and Defense Symposium 2025 — El Segundo

    Join Chris Johnston, Keysight’s Director of Radar and EW, alongside other Keysight experts, at this year’s Aerospace and Defense Symposium in El Segundo. Gain practical insights, see live demonstrations, and take part in engaging discussions designed to help you stay ahead in our rapidly evolving industry. You’ll walk away ready to accelerate mission-critical product development across …

    Continue reading "Aerospace and Defense Symposium 2025 — El Segundo"

  • Thu 13
    November 13 @ 11:00 AM - 12:00 PM

    Webinar: Accelerating Product Development with Computational Materials Engineering

    Discover how companies are leveraging ICME to enhance outcomes in consumer goods, fluids manufacturing, optics, and polymer development. Learn how your R&D team can accelerate the process from materials design to product design and manufacturing. DATE/TIME: November 13, 2025 11 AM EST / 5 PM CET / 9:30 PM IST Venue: Virtual Overview Ansys and …

    Continue reading "Webinar: Accelerating Product Development with Computational Materials Engineering"

  • Sun 16
    ISTFA 2025 Theme Hero
    November 16 - November 20

    ISTFA 2025

    Plan today to attend and participate at ISTFA 2025! Join us for the 51st International Symposium for Testing and Failure Analysis (ISTFA) is set to take place in Pasadena, California, from November 16-20, 2025. As the premier event for the microelectronics failure analysis community, ISTFA brings together leading experts, industry professionals, and researchers to share …

    Continue reading "ISTFA 2025"

  • Sun 16
    Screenshot 2025 07 18 110118
    November 16 - November 21

    SC25

    The International Conference for High Performance Computing, Networking, Storage, and Analysis HPC Ignites. St. Louis is the place to be this fall as the high performance computing community convenes for an exhilarating week of sessions, speakers, and networking at its finest. SC is an unparalleled mix of thousands of scientists, engineers, researchers, educators, programmers, and …

    Continue reading "SC25"

  • Mon 17
    Screenshot 2025 06 10 165805
    November 17 - November 21

    Hardwear.io Security Trainings and Conference Netherlands 2025

    The Netherlands has been the home for Hardwear.io since 2015. We are very excited to host the industry from automotive, healthcare, semiconductor, IoT, industrial control systems and Govt/Defences Institutes to join us for Hardwear.io NL scheduled on 17th Nov to 21st Nov 2025 at Amsterdam Marriott Hotel Learn, share, build, collaborate with 100+ companies attending …

    Continue reading "Hardwear.io Security Trainings and Conference Netherlands 2025"

  • Tue 18
    Screenshot 2025 08 20 145418
    November 18

    2025 TSMC Open Innovation Platform Ecosystem Forum – Taiwan

    Join us for the 2025 TSMC OIP ECOSYSTEM FORUM Get ready for an electrifying dive into the future of semiconductor design at the 2025 TSMC Global Open Innovation Platform® (OIP) Ecosystem Forum! This isn’t just an event; it’s a dynamic hub where the brightest minds converge to ignite the next wave of innovation. As the AI …

    Continue reading "2025 TSMC Open Innovation Platform Ecosystem Forum – Taiwan"

  • Tue 18
    Screenshot 2025 08 21 025131
    November 18 - November 20

    MASTER CLASS: Component-based transfer path analysis and virtual prototyping

    The Component-based TPA and Virtual Prototyping Master Class is a 3-day live training event that brings together the NVH community at the NVH facility in Leuven, Belgium. This immersive program features theoretical lectures and insights from industry leaders, supported by live demonstrations, interactive sessions, and more than 9 hours of hands-on workshops in small groups. The master …

    Continue reading "MASTER CLASS: Component-based transfer path analysis and virtual prototyping"

  • Tue 18
    Screenshot 2025 07 18 110413
    November 18 - November 21

    SEMICON Europa 2025

    SEMICON Europa 2025 is co-located with productronica and will take place in November 18-21, 2025 in Munich, Germany. This year’s theme Global Collaborations for European Economic Resilience expresses that global collaborations are not just beneficial for Europe's resilience, they are essential. In today's interconnected world, Europe cannot afford to operate in isolation. By working closely with other nations, …

    Continue reading "SEMICON Europa 2025"

  • Tue 18
    Vijay Viswanathan
    November 18 @ 9:00 AM - 10:00 AM

    Webinar: Power Supply ICs for efficient computing & networking

    The importance of second-stage optimization in power delivery for data centers and high-performance computing cannot be overstated. With the potential to increase energy efficiency from an average of 85% to approximately 90%, Infineon is at the forefront of developing innovative solutions to meet the rapidly growing demands of advanced computing. In this session we will …

    Continue reading "Webinar: Power Supply ICs for efficient computing & networking"

  • Tue 18
    multistream webinar banner square
    November 18 @ 9:00 AM - 10:00 AM

    Webinar: How PCIe Multistream Architecture is enabling AI Connectivity at 64 GT/s and 128 GT/s

    Featured Speakers: Diwakar Kumaraswamy, Sr. Staff Technical Product Manager, Synopsys AI and HPC workloads push fabric speeds to deliver higher parallelism and utilization at extreme data rates. To support these higher rates, the controller architecture needs to be completely redefined resulting in the new PCIe controller Multistream architecture where multiple TLP streams to be serialized …

    Continue reading "Webinar: How PCIe Multistream Architecture is enabling AI Connectivity at 64 GT/s and 128 GT/s"

  • Tue 18
    November 18 @ 9:00 AM - 3:30 PM

    Advanced Measurements Seminar – Chandler

    Join Suren Singh, Application Engineer for Emerging Technologies, and fellow Keysight experts for a hands-on seminar that will help you do what you couldn’t before in RF measurement. Whether you're looking to advance your expertise or stay ahead of emerging technologies, this hands-on seminar will equip you with the practical tools and skills you need …

    Continue reading "Advanced Measurements Seminar – Chandler"

  • Wed 19
    Screenshot 2025 06 10 170130
    November 19 - November 20

    Sensing (R)evolution: Sustaining Europe’s Leadership

    Discover how the latest MEMS and imaging technologies are enabling next-level value creation across industries. Explore cutting-edge AI-enhanced sensing, data fusion, and their transformative impact on automotive, healthcare, and smart systems. Learn how these technologies are driving Europe's leadership in the sensor revolution while shaping a more intelligent, interconnected future. Join us in Sensing (R)evolution: …

    Continue reading "Sensing (R)evolution: Sustaining Europe’s Leadership"

  • Wed 19
    Screenshot 2025 08 27 212625
    November 19 - November 20

    MEMS & Imaging Sensors Summit 2025

    Discover how the latest MEMS and imaging technologies are enabling next-level value creation across industries. Explore cutting-edge AI-enhanced sensing, data fusion, and their transformative impact on automotive, healthcare, and smart systems. Learn how these technologies are driving Europe’s leadership in the sensor revolution while shaping a more intelligent, interconnected future. Join us in to Sensing …

    Continue reading "MEMS & Imaging Sensors Summit 2025"

  • Wed 19
    1750872606 61b607ba1c5cbc49
    November 19 @ 10:00 AM - 11:00 AM

    Webinar: Advancing NTN: Challenges and Opportunities in 6G

    NTNs extend coverage everywhere; 6G delivers the tools to optimize, scale, and seamlessly integrate them. Join our expert roundtable for a high-impact discussion on today’s NTN landscape. Discover how 6G will accelerate their evolution. Learn about the engineering challenges and opportunities shaping the future. You’ll walk away with insights into real-world deployments, emerging use cases, …

    Continue reading "Webinar: Advancing NTN: Challenges and Opportunities in 6G"

  • Wed 19
    Screenshot 2025 06 12 134145
    November 19 @ 10:00 AM - 4:00 PM

    From Theory to Practice: Applying Timing Constraints Workshop

    From Theory to Practice: Applying Timing Constraints Workshop Do you struggle to identify which constraints are needed for a design or how to properly input them? This workshop will cover how to use features in Vivado, clock domain crossing strategies, and how to get the most out of static timing analysis for Versal devices. This …

    Continue reading "From Theory to Practice: Applying Timing Constraints Workshop"

  • Wed 19
    Screenshot 2025 09 29 234831
    November 19 @ 11:00 AM - 12:00 PM

    Webinar: 5 Expectations for the Connectivity Market in 2026

    Discover the 5 Critical Connectivity Market Trends Reshaping Semiconductors in 2026 5G, ambient computing, and RF innovation—what’s next for connectivity semiconductors. The connectivity semiconductor industry is evolving rapidly as 5G matures, geopolitical shifts influence supply chains, and ambient/always-on computing paradigms drive next-generation RF architectures. From smartphones to IoT, wearables, and satellite networks, the connectivity landscape …

    Continue reading "Webinar: 5 Expectations for the Connectivity Market in 2026"

  • Thu 20
    Screenshot 2025 10 22 041230
    November 20 - November 21

    2025 ICCAD

    About ICCAD-Expo In the development of China's integrated circuit design industry, the China Integrated Circuit Design Industry Exhibition (ICCAD-Expo) has consistently played a vital role in promoting industrial clustering, connecting industry resources, and identifying industry trends. Since its inception in 1994, ICCAD-Expo  has been successfully held for 30 sessions in Shenzhen, Hangzhou, Chengdu, Wuhan, Shanghai, Zhuhai, Dalian, …

    Continue reading "2025 ICCAD"

  • Thu 20
    Paul Yeaman
    November 20 @ 9:00 AM - 10:00 AM

    Webinar: Powering the heart of AI – 48 V to core optimization

    This webinar provides an in-depth discussion about the challenges posed by AI's increasing power requirements to voltage regulation, more specifically physical limitations such as power distribution losses, thermal and cooling limitations, and power density issues. It then explores innovative technologies designed to address these challenges, for example chip-embedded modules, thermally efficient inductors, and novel power …

    Continue reading "Webinar: Powering the heart of AI – 48 V to core optimization"

  • Thu 20
    1761086745 b35ee35dd6d899de
    November 20 @ 10:00 AM - 10:30 AM

    Webinar: Wireless Coexistence Testing for Medical Devices

    Wireless connectivity is the backbone of modern medical technology, but it is also one of its greatest vulnerabilities. In connected hospitals and operating rooms, dozens of devices share a limited spectrum. Even minor interference can disrupt data, delay critical responses, and threaten patient safety. Regulators now require proof that devices coexist reliably in complex RF …

    Continue reading "Webinar: Wireless Coexistence Testing for Medical Devices"

  • Thu 20
    1756482267 fb4ab9beb955a1e7
    November 20 @ 10:00 AM - 11:00 AM

    Webinar: Sensing the 6G Future: Insight from the Wireless Channel

    6G is transforming wireless networks from a channel for communication into a powerful tool for sensing the world around us. Beyond connecting people and devices, 6G opens the door to applications such as gesture recognition, object detection, and location awareness — capabilities that demand new approaches to modeling, simulation, and testing. In this webinar, our …

    Continue reading "Webinar: Sensing the 6G Future: Insight from the Wireless Channel"

  • Thu 20
    Screenshot 2025 09 29 235112
    November 20 @ 11:00 AM - 12:00 PM

    Webinar: 5 Expectations for the Automotive Market in 2026

    November 20, 2025 - 11:00 AM EST    November 21, 2025 – 10:00 AM JST/KST Discover the 5 Critical Automotive Market Trends Reshaping Semiconductors in 2026 AI, vehicle architectures, and trade impacts—what’s next for automotive semiconductors. The automotive semiconductor industry is navigating a complex landscape of trade tensions, evolving architectures, and AI deployment. Supply chain uncertainties …

    Continue reading "Webinar: 5 Expectations for the Automotive Market in 2026"

  • Thu 20
    sia logo
    November 20 @ 5:00 PM - 8:30 PM

    2025 SIA Awards Dinner

    Date: Nov. 20, 2025 Time: SIA Reception at 5:00 pm Dinner, Awards Presentations, & Keynote Remarks at 6:30 pm After-Dinner Reception at 8:30 pm Location: Signia by Hilton San Jose 170 S Market St San Jose, Calif.95113 PRIORITY SPONSORSHIP PERIOD NOW OPEN! The 2025 SIA Awards Dinner Priority Sponsorship Period is now open! Only Gold …

    Continue reading "2025 SIA Awards Dinner"

  • Tue 25
    Screenshot 2025 08 20 145418
    November 25

    2025 TSMC Open Innovation Platform Ecosystem Forum – Amsterdam

    Join us for the 2025 TSMC OIP ECOSYSTEM FORUM Get ready for an electrifying dive into the future of semiconductor design at the 2025 TSMC Global Open Innovation Platform® (OIP) Ecosystem Forum! This isn’t just an event; it’s a dynamic hub where the brightest minds converge to ignite the next wave of innovation. As the AI …

    Continue reading "2025 TSMC Open Innovation Platform Ecosystem Forum – Amsterdam"

  • Tue 25
    6aa32750 74d5 44ba aae6 84fdf47dd3ba
    November 25 @ 11:00 AM - 12:00 PM

    Webinar: Mastering Clock Domain Crossings (CDC) and Synchronization Techniques

    Description Clock domain crossings (CDCs) are a critical aspect of FPGA and embedded system design, and handling them correctly is essential for reliable operation. In this one-hour webinar, we’ll break down CDC fundamentals, explore best practices for managing single-bit and bus CDCs, and demonstrate how to leverage Xilinx Parameterized Macros (XPM) for seamless synchronization. Join …

    Continue reading "Webinar: Mastering Clock Domain Crossings (CDC) and Synchronization Techniques"

  • December 2025

  • Mon 1
    Screenshot 2025 08 21 020159
    December 1 @ 10:00 AM - 11:30 AM

    CadenceTECHTALK: iPegasus Verification System for Virtuoso Studio

    Online

    Speaker: Hong-Cheang Quek, AE Director 10:00am~11:00am iPegasus Verification System for Virtuoso Studio 11:00am~11:15am Q&A Description: Today's complex SoC designs significantly increase layout creation and verification time, especially at advanced nodes. To meet overall demand for faster design cycle turnaround time, bridge a demand gap, and improve productivity between custom implementation and physical verification tools, Cadence …

    Continue reading "CadenceTECHTALK: iPegasus Verification System for Virtuoso Studio"

  • Tue 2
    Screenshot 2025 09 29 235249
    December 2 @ 11:00 AM - 12:00 PM

    Webinar: 5 Expectations for the Advanced Packaging Market in 2026

    December 2, 2025 - 11:00 AM EST    December 3, 2025 – 10:00 AM JST/KST Discover the 5 Critical Advanced Packaging Market Trends Reshaping Semiconductors in 2026 AI, 3D stacking, and next-gen substrates—what’s next for advanced packaging. The advanced packaging industry is at the forefront of semiconductor innovation, driven by the explosive growth of AI and …

    Continue reading "Webinar: 5 Expectations for the Advanced Packaging Market in 2026"

  • Wed 3
    Screenshot 2025 10 22 032736
    December 3 - December 4

    PDF Solutions 2025 Users Conference & Analyst Day

    The semiconductor industry is experiencing accelerated innovation; demand has never been higher, complexity never greater, and the opportunities never more exciting. But realizing this potential requires partnerships, shared secure scalable solutions, and a collective commitment to pushing boundaries.  In this two-day conference, you'll: Hear from visionaries at Qualcomm, Intel, GlobalFoundries, STMicroelectronics, and SAP and many others.  We will demo breakthrough technologies in AI-driven …

    Continue reading "PDF Solutions 2025 Users Conference & Analyst Day"

  • Thu 4
    Screenshot 2025 08 20 145418
    December 4

    2025 TSMC Open Innovation Platform Ecosystem Forum – China

    Join us for the 2025 TSMC OIP ECOSYSTEM FORUM Get ready for an electrifying dive into the future of semiconductor design at the 2025 TSMC Global Open Innovation Platform® (OIP) Ecosystem Forum! This isn’t just an event; it’s a dynamic hub where the brightest minds converge to ignite the next wave of innovation. As the AI …

    Continue reading "2025 TSMC Open Innovation Platform Ecosystem Forum – China"

  • Thu 4
    1759187598 a4c16b4f7f6def1e
    December 4 @ 10:00 AM - 11:00 AM

    Webinar: Simplify 1.6T Ethernet Testing: A New Way to Validate Interconnects

    As 1.6T Ethernet moves from concept to deployment, validating interconnects is more critical — and complex — than ever. But the challenge demands more than just speed — it’s proving performance in real-world conditions. Traditional test methods are slow and require manual intervention — adding complexity, longer development cycles, and gaps in validation. In this …

    Continue reading "Webinar: Simplify 1.6T Ethernet Testing: A New Way to Validate Interconnects"

  • Thu 4
    Screenshot 2025 08 27 212923
    December 4 @ 4:00 PM - 7:30 PM

    2025 GSA Awards Dinner Celebration

    GSA recognizes semiconductor companies that have demonstrated excellence through their success, vision, strategy and future opportunities in the industry at its annual Awards Dinner Celebration. It is an honor to be selected as a nominee and an even more distinguished achievement to win a GSA Award. REGISTER HERE

  • Sat 6
    Screenshot 2025 06 10 170521
    December 6 - December 10

    IEDM 2025 – 71st Annual IEEE International Electron Devices Meeting

    100 YEARS of FETs: SHAPING the FUTURE of DEVICE INNOVATIONS Inside IEEE IEDM 2025 Focus Sessions Focus Session #1 - Efficient AI Solutions: Architecture, Circuit, and 3D Integration Innovations for Memory and Logic Focus Session #2 - Beyond Silicon: The Invisible Revolution in Thin-Film Transistors Focus Session #3 - From P-bits to Qubits: Classical, Quantum-Inspired …

    Continue reading "IEDM 2025 – 71st Annual IEEE International Electron Devices Meeting"

  • Mon 8
    Screenshot 2025 08 21 020159
    December 8 @ 10:00 AM - 11:30 AM

    CadenceTECHTALK: Quantus Insight: Intelligent Parasitic Debugging, Optimization, and Signoff Closure

    Online

    Speaker: Kee Tat Ong, Principal Application Engineer 10:00am~11:00am Quantus Insight: Intelligent Parasitic Debugging, Optimization, and Signoff Closure 11:00am~11:15am Q&A Description: With more designs migrating to advanced process nodes, chips are getting smaller, but design complexity is increasing in order to deliver better power, performance, or area. These optimizations are restricted by the time window to …

    Continue reading "CadenceTECHTALK: Quantus Insight: Intelligent Parasitic Debugging, Optimization, and Signoff Closure"

  • Tue 9
    Screenshot 2025 09 29 235533
    December 9 @ 11:00 AM - 12:00 PM

    Webinar: 5 Expectations for the PC/Laptop/Tablet Market in 2026

    December 9, 2025 - 11:00 AM EST    December 10, 2025 – 10:00 AM JST/KST Discover the 5 Critical PC/Laptop/Tablet Market Trends Reshaping Semiconductors in 2026 AI, tariffs, and processor innovations—what’s next for client computing markets. The client computing market is entering a pivotal transition as post-COVID refresh cycles conclude. With a cooling tablet segment and …

    Continue reading "Webinar: 5 Expectations for the PC/Laptop/Tablet Market in 2026"

  • Tue 9
    blueprint viewer 1280x720
    December 9 @ 1:00 PM - 2:00 PM

    Webinar: Automate PCB documentation with BluePrint-PCB

    Streamlining fabrication and assembly documentation December 9, 2025 at 06:00 AM Pacific Standard Time December 9, 2025 at 01:00 PM Pacific Standard Time BluePrint-PCB is a documentation automation tool that streamlines PCB fabrication, assembly, and inspection by generating intelligent, customizable electronic drawings directly from design data. BluePrint-PCB by Siemens integrates with PCB design workflows to …

    Continue reading "Webinar: Automate PCB documentation with BluePrint-PCB"

  • Wed 10
    Screenshot 2025 10 22 041905
    December 10 - December 11

    AI Everywhere 2025

    Description In the data center, the shift to inference is in full swing. Infrastructure for inference is being built out at scale around the world in what Jensen Huang calls “AI factories,” optimized for the best possible tokens per dollar performance on a small but growing number of open-source LLMs. Sovereign AI efforts are also …

    Continue reading "AI Everywhere 2025"

  • Wed 10
    Alphawave 600x388
    December 10 @ 9:00 AM - 10:00 AM

    Webinar: Trained Eyes on 64G UCIe: Scale Chiplet Integration for AI

    Join us to hear firsthand from the innovators at Siemens and Alphawave Semi and learn proven practices to enhance your UCIe-enabled AI system performance! The semiconductor industry is shifting rapidly from monolithic SoC design to chiplet-based systems. At the same time, AI compute workloads have pushed into petaflop-class speeds, demanding higher throughput from die-to-die (D2D) …

    Continue reading "Webinar: Trained Eyes on 64G UCIe: Scale Chiplet Integration for AI"

  • Tue 16
    Screenshot 2025 09 29 235800
    December 16 @ 11:00 AM - 12:00 PM

    Webinar: 5 Expectations for the Consumer Electronics Market in 2026

    December 16, 2025 - 11:00 AM EST    December 17, 2025 – 10:00 AM JST/KST Discover the 5 Critical Consumer Electronics Market Trends Reshaping Semiconductors in 2026 AI, tariffs, smart home, and display innovations—what’s next for consumer electronics. The consumer electronics market is poised for transformation in 2026, driven by technology innovation, geopolitical dynamics, and rising …

    Continue reading "Webinar: 5 Expectations for the Consumer Electronics Market in 2026"

  • Wed 17
    1080x600 en 1.jpg
    December 17 - December 19

    SEMICON Japan 2025

    SEMICON Japan is the premier event that brings together the semiconductor manufacturing supply chain for the latest insights, trends and innovations as the industry powers digital transformation. SEMICON Japan 2025 will highlight Smart applications powered by semiconductor technology such as automotive and Internet of Things (IoT). REGISTER HERE

  • Wed 17
    1762267057 7296b78543070298
    December 17 @ 10:00 AM - 11:00 AM

    Webinar: From Silos to Systems, From Data to Insight (AM Session)

    December 17, 2025 | 10:00 AM PST Join us to discover how Keysight Design Data Management (SOS) Enterprise Collaboration, as the backbone for modern engineering enterprises, enables teams to build organizational knowledge, secure collaboration, and prepare their data for AI and agentic workflows that drive measurable competitive advantage. The biggest challenges today are managing complexity, ensuring governance, and preparing …

    Continue reading "Webinar: From Silos to Systems, From Data to Insight (AM Session)"

  • Wed 17
    Screenshot 2025 06 12 134829
    December 17 @ 10:00 AM - 4:00 PM

    Essential Debugging Techniques Workshop

    Essential Debugging Techniques Workshop This workshop is for hardware engineers, system architects, and anyone who wants to learn best practices for debugging challenging issues encountered while developing FPGAs, SoCs, PCBs, and embedded systems using the Vivado Design Suite. The features and capabilities of the Vivado Integrated Logic Analyzer are covered in lectures and demonstrations, along with …

    Continue reading "Essential Debugging Techniques Workshop"

  • Previous Events
  • Today
  • Next Events
  • Google Calendar
  • iCalendar
  • Outlook 365
  • Outlook Live
  • Export .ics file
  • Export Outlook .ics file

Copyright © 2025 SemiWiki.com. All rights reserved.
Legal / Sponsor Disclosure - About SemiWiki