You are currently viewing SemiWiki as a guest which gives you limited access to the site. To view blog comments and experience other SemiWiki features you must be a registered member. Registration is fast, simple, and absolutely free so please, join our community today!
Sheraton Hsinchu Hotel
Sheraton Hsinchu Hotel, No. 265號, E Section 1, Guangming 6th Rd, Zhubei City, Hsinchu County, Taiwan
Join us for the 2025 TSMC OIP ECOSYSTEM FORUM Get ready for an electrifying dive into the future of semiconductor design at the 2025 TSMC Global Open Innovation Platform® (OIP) Ecosystem Forum! This isn’t just an event; it’s a dynamic hub where the brightest minds converge to ignite the next wave of innovation. As the AI …
The Component-based TPA and Virtual Prototyping Master Class is a 3-day live training event that brings together the NVH community at the NVH facility in Leuven, Belgium. This immersive program features theoretical lectures and insights from industry leaders, supported by live demonstrations, interactive sessions, and more than 9 hours of hands-on workshops in small groups. The master …
SEMICON Europa 2025 is co-located with productronica and will take place in November 18-21, 2025 in Munich, Germany. This year’s theme Global Collaborations for European Economic Resilience expresses that global collaborations are not just beneficial for Europe's resilience, they are essential. In today's interconnected world, Europe cannot afford to operate in isolation. By working closely with other nations, …
The importance of second-stage optimization in power delivery for data centers and high-performance computing cannot be overstated. With the potential to increase energy efficiency from an average of 85% to approximately 90%, Infineon is at the forefront of developing innovative solutions to meet the rapidly growing demands of advanced computing. In this session we will …
Featured Speakers: Diwakar Kumaraswamy, Sr. Staff Technical Product Manager, Synopsys AI and HPC workloads push fabric speeds to deliver higher parallelism and utilization at extreme data rates. To support these higher rates, the controller architecture needs to be completely redefined resulting in the new PCIe controller Multistream architecture where multiple TLP streams to be serialized …
DoubleTree by Hilton Phoenix Chandler
DoubleTree by Hilton Phoenix Chandler, 7475 W Chandler Blvd, Chandler, AZ, United States
Join Suren Singh, Application Engineer for Emerging Technologies, and fellow Keysight experts for a hands-on seminar that will help you do what you couldn’t before in RF measurement. Whether you're looking to advance your expertise or stay ahead of emerging technologies, this hands-on seminar will equip you with the practical tools and skills you need …
ICM – International Congress Center Messe München
Messe München GmbH, Messegelände, München, Germany
Discover how the latest MEMS and imaging technologies are enabling next-level value creation across industries. Explore cutting-edge AI-enhanced sensing, data fusion, and their transformative impact on automotive, healthcare, and smart systems. Learn how these technologies are driving Europe's leadership in the sensor revolution while shaping a more intelligent, interconnected future. Join us in Sensing (R)evolution: …
ICM – International Congress Center Messe München
Messe München GmbH, Messegelände, München, Germany
Discover how the latest MEMS and imaging technologies are enabling next-level value creation across industries. Explore cutting-edge AI-enhanced sensing, data fusion, and their transformative impact on automotive, healthcare, and smart systems. Learn how these technologies are driving Europe’s leadership in the sensor revolution while shaping a more intelligent, interconnected future. Join us in to Sensing …
NTNs extend coverage everywhere; 6G delivers the tools to optimize, scale, and seamlessly integrate them. Join our expert roundtable for a high-impact discussion on today’s NTN landscape. Discover how 6G will accelerate their evolution. Learn about the engineering challenges and opportunities shaping the future. You’ll walk away with insights into real-world deployments, emerging use cases, …
From Theory to Practice: Applying Timing Constraints Workshop Do you struggle to identify which constraints are needed for a design or how to properly input them? This workshop will cover how to use features in Vivado, clock domain crossing strategies, and how to get the most out of static timing analysis for Versal devices. This …
Discover the 5 Critical Connectivity Market Trends Reshaping Semiconductors in 2026 5G, ambient computing, and RF innovation—what’s next for connectivity semiconductors. The connectivity semiconductor industry is evolving rapidly as 5G matures, geopolitical shifts influence supply chains, and ambient/always-on computing paradigms drive next-generation RF architectures. From smartphones to IoT, wearables, and satellite networks, the connectivity landscape …
About ICCAD-Expo In the development of China's integrated circuit design industry, the China Integrated Circuit Design Industry Exhibition (ICCAD-Expo) has consistently played a vital role in promoting industrial clustering, connecting industry resources, and identifying industry trends. Since its inception in 1994, ICCAD-Expo has been successfully held for 30 sessions in Shenzhen, Hangzhou, Chengdu, Wuhan, Shanghai, Zhuhai, Dalian, …
This webinar provides an in-depth discussion about the challenges posed by AI's increasing power requirements to voltage regulation, more specifically physical limitations such as power distribution losses, thermal and cooling limitations, and power density issues. It then explores innovative technologies designed to address these challenges, for example chip-embedded modules, thermally efficient inductors, and novel power …
Wireless connectivity is the backbone of modern medical technology, but it is also one of its greatest vulnerabilities. In connected hospitals and operating rooms, dozens of devices share a limited spectrum. Even minor interference can disrupt data, delay critical responses, and threaten patient safety. Regulators now require proof that devices coexist reliably in complex RF …
6G is transforming wireless networks from a channel for communication into a powerful tool for sensing the world around us. Beyond connecting people and devices, 6G opens the door to applications such as gesture recognition, object detection, and location awareness — capabilities that demand new approaches to modeling, simulation, and testing. In this webinar, our …
November 20, 2025 - 11:00 AM EST November 21, 2025 – 10:00 AM JST/KST Discover the 5 Critical Automotive Market Trends Reshaping Semiconductors in 2026 AI, vehicle architectures, and trade impacts—what’s next for automotive semiconductors. The automotive semiconductor industry is navigating a complex landscape of trade tensions, evolving architectures, and AI deployment. Supply chain uncertainties …
Signia by Hilton San Jose
170 S Market St, San Jose, CA, United States
Date: Nov. 20, 2025 Time: SIA Reception at 5:00 pm Dinner, Awards Presentations, & Keynote Remarks at 6:30 pm After-Dinner Reception at 8:30 pm Location: Signia by Hilton San Jose 170 S Market St San Jose, Calif.95113 PRIORITY SPONSORSHIP PERIOD NOW OPEN! The 2025 SIA Awards Dinner Priority Sponsorship Period is now open! Only Gold …
Join us for the 2025 TSMC OIP ECOSYSTEM FORUM Get ready for an electrifying dive into the future of semiconductor design at the 2025 TSMC Global Open Innovation Platform® (OIP) Ecosystem Forum! This isn’t just an event; it’s a dynamic hub where the brightest minds converge to ignite the next wave of innovation. As the AI …
Description Clock domain crossings (CDCs) are a critical aspect of FPGA and embedded system design, and handling them correctly is essential for reliable operation. In this one-hour webinar, we’ll break down CDC fundamentals, explore best practices for managing single-bit and bus CDCs, and demonstrate how to leverage Xilinx Parameterized Macros (XPM) for seamless synchronization. Join …
Speaker: Hong-Cheang Quek, AE Director 10:00am~11:00am iPegasus Verification System for Virtuoso Studio 11:00am~11:15am Q&A Description: Today's complex SoC designs significantly increase layout creation and verification time, especially at advanced nodes. To meet overall demand for faster design cycle turnaround time, bridge a demand gap, and improve productivity between custom implementation and physical verification tools, Cadence …
December 2, 2025 - 11:00 AM EST December 3, 2025 – 10:00 AM JST/KST Discover the 5 Critical Advanced Packaging Market Trends Reshaping Semiconductors in 2026 AI, 3D stacking, and next-gen substrates—what’s next for advanced packaging. The advanced packaging industry is at the forefront of semiconductor innovation, driven by the explosive growth of AI and …
Santa Clara Marriott
Santa Clara, CA, United States
The semiconductor industry is experiencing accelerated innovation; demand has never been higher, complexity never greater, and the opportunities never more exciting. But realizing this potential requires partnerships, shared secure scalable solutions, and a collective commitment to pushing boundaries. In this two-day conference, you'll: Hear from visionaries at Qualcomm, Intel, GlobalFoundries, STMicroelectronics, and SAP and many others. We will demo breakthrough technologies in AI-driven …
Join us for the 2025 TSMC OIP ECOSYSTEM FORUM Get ready for an electrifying dive into the future of semiconductor design at the 2025 TSMC Global Open Innovation Platform® (OIP) Ecosystem Forum! This isn’t just an event; it’s a dynamic hub where the brightest minds converge to ignite the next wave of innovation. As the AI …
As 1.6T Ethernet moves from concept to deployment, validating interconnects is more critical — and complex — than ever. But the challenge demands more than just speed — it’s proving performance in real-world conditions. Traditional test methods are slow and require manual intervention — adding complexity, longer development cycles, and gaps in validation. In this …
Santa Clara Convention Center
Santa Clara Convention Center, 5001 Great America Pkwy, Santa Clara, CA, United States
GSA recognizes semiconductor companies that have demonstrated excellence through their success, vision, strategy and future opportunities in the industry at its annual Awards Dinner Celebration. It is an honor to be selected as a nominee and an even more distinguished achievement to win a GSA Award. REGISTER HERE
San Francisco, CA
San Francisco, CA, United States
100 YEARS of FETs: SHAPING the FUTURE of DEVICE INNOVATIONS Inside IEEE IEDM 2025 Focus Sessions Focus Session #1 - Efficient AI Solutions: Architecture, Circuit, and 3D Integration Innovations for Memory and Logic Focus Session #2 - Beyond Silicon: The Invisible Revolution in Thin-Film Transistors Focus Session #3 - From P-bits to Qubits: Classical, Quantum-Inspired …
Speaker: Kee Tat Ong, Principal Application Engineer 10:00am~11:00am Quantus Insight: Intelligent Parasitic Debugging, Optimization, and Signoff Closure 11:00am~11:15am Q&A Description: With more designs migrating to advanced process nodes, chips are getting smaller, but design complexity is increasing in order to deliver better power, performance, or area. These optimizations are restricted by the time window to …
December 9, 2025 - 11:00 AM EST December 10, 2025 – 10:00 AM JST/KST Discover the 5 Critical PC/Laptop/Tablet Market Trends Reshaping Semiconductors in 2026 AI, tariffs, and processor innovations—what’s next for client computing markets. The client computing market is entering a pivotal transition as post-COVID refresh cycles conclude. With a cooling tablet segment and …
Streamlining fabrication and assembly documentation December 9, 2025 at 06:00 AM Pacific Standard Time December 9, 2025 at 01:00 PM Pacific Standard Time BluePrint-PCB is a documentation automation tool that streamlines PCB fabrication, assembly, and inspection by generating intelligent, customizable electronic drawings directly from design data. BluePrint-PCB by Siemens integrates with PCB design workflows to …
Description In the data center, the shift to inference is in full swing. Infrastructure for inference is being built out at scale around the world in what Jensen Huang calls “AI factories,” optimized for the best possible tokens per dollar performance on a small but growing number of open-source LLMs. Sovereign AI efforts are also …
Join us to hear firsthand from the innovators at Siemens and Alphawave Semi and learn proven practices to enhance your UCIe-enabled AI system performance! The semiconductor industry is shifting rapidly from monolithic SoC design to chiplet-based systems. At the same time, AI compute workloads have pushed into petaflop-class speeds, demanding higher throughput from die-to-die (D2D) …
December 16, 2025 - 11:00 AM EST December 17, 2025 – 10:00 AM JST/KST Discover the 5 Critical Consumer Electronics Market Trends Reshaping Semiconductors in 2026 AI, tariffs, smart home, and display innovations—what’s next for consumer electronics. The consumer electronics market is poised for transformation in 2026, driven by technology innovation, geopolitical dynamics, and rising …
Tokyo Big Sight
3 Chome-11-1 Ariake, Koto City, Tokyo, Japan
SEMICON Japan is the premier event that brings together the semiconductor manufacturing supply chain for the latest insights, trends and innovations as the industry powers digital transformation. SEMICON Japan 2025 will highlight Smart applications powered by semiconductor technology such as automotive and Internet of Things (IoT). REGISTER HERE
December 17, 2025 | 10:00 AM PST Join us to discover how Keysight Design Data Management (SOS) Enterprise Collaboration, as the backbone for modern engineering enterprises, enables teams to build organizational knowledge, secure collaboration, and prepare their data for AI and agentic workflows that drive measurable competitive advantage. The biggest challenges today are managing complexity, ensuring governance, and preparing …
Essential Debugging Techniques Workshop This workshop is for hardware engineers, system architects, and anyone who wants to learn best practices for debugging challenging issues encountered while developing FPGAs, SoCs, PCBs, and embedded systems using the Vivado Design Suite. The features and capabilities of the Vivado Integrated Logic Analyzer are covered in lectures and demonstrations, along with …
January 5, 2026 - 11:00 AM EST January 6, 2026 – 10:00 AM JST/KST Discover the 5 Critical Mobile Market Trends Reshaping Semiconductors in 2026 Mobile AI, shifting supply chains, …
Las Vegas Convention Center
Las Vegas Convention Center, 3150 Paradise Rd, Las Vegas, NV, United States
The world’s most powerful tech event is your place to experience the innovations transforming how we live. This is where global brands get business done, meet new partners and where …
The Ritz-Carlton, Half Moon Bay
The Ritz-Carlton, 1 Miramontes Point Rd, Half Moon Bay, CA, United States
Join us at the Ritz-Carlton in Half Moon Bay, CA to get the latest insights on economic trends, market drivers, geopolitics, technology, and what these will mean for the near …
About this event Next-generation AI systems are pushing electrical, optical, and packaging technologies to their limits. Join Keysight experts as they share insights on validating 224G / 448G SerDes, preparing for emerging …
As the quantum threat moves from theory to reality, attacks put all long-lifecycle designs at risk. In this early PQC era, simply implementing the new NIST algorithms isn't enough. Implementations …
Date: Jan 14, 2026 | 10:00 AM PST Featured Speakers: Srikanth Venkat Raman, Product Management Director, Synopsys Khader Abdel-Hafez, Scientist, Synopsys Theo Toulas, R&D Principal Engineer, Synopsys Bruce Xue, Staff Engineer, Synopsys As System-on-Chip (SoC) designs become increasingly complex, meeting test quality and cost goals requires advances in automatic test pattern generation (ATPG). Synopsys TestMAX™ ATPG is Synopsys’ state-of-the-art …
Discover the 5 Critical Manufacturing Market Trends Reshaping Semiconductors in 2026 AI-driven investments, sustainability, and advanced materials—what’s next for semiconductor manufacturing. The semiconductor manufacturing industry is undergoing a transformative period as AI-driven investments accelerate, sustainability pressures mount, and foundries navigate capacity expansion amid weak consumer demand. In this live TechInsights webinar, our manufacturing experts will …
About this event Start the new year off right with fresh insights and sharp skills. Join Keysight experts in Calgary for an all-day Advanced Measurements Seminar and cocktail reception. This hands-on technical event features live demonstrations with the latest RF and high-speed digital technologies. Gain practical, lab-ready insights to enhance accuracy, reduce uncertainty, and push your measurement capabilities further in 2026. Lunch and cocktails are on us. …
We are pleased to offer two webinar sessions for your convenience. Please choose the time that best fits your schedule: 10:00AM – 12:00PM CET (session #1 for EMEA/APAC) 10:00AM – 12:00PM PST (session #2 for NA) Featured Speakers: Kopal Kulshreshtha, Principal Product Specialist, Synopsys Rob Dohanyos, Principal Product Specialist, Synopsys Introducing ParagonX, a powerful tool for intelligent analysis, debugging, simulation, and visualization …
ASU Tempe Campus
ASU Tempe Campus, Old Main Building, 400 East Tyler Mall, Second Floor, Tempe, AZ, United States
This workshop is a fast-paced, one-day program led by Prof. Dragica Vasileska and Prof. Stephen M. Goodnick. Spend the morning grounding yourself in semiconductor physics and transport, then transition in the afternoon to instructor-led, hands-on Silvaco TCAD labs (e.g., MOS Capacitors, MOSFETs, SOI Devices, FinFETs). The workshop is offered in a hybrid format. Participants have …
San Francisco, CA
San Francisco, CA, United States
Share your work, insights, and breakthroughs. The 2026 call for papers is open. SPIE Photonics West is the world’s largest optics and photonics technologies event. Present your research in biomedical optics, biophotonics, industrial lasers, optoelectronics, microfabrication, displays, quantum, and emerging vision technologies. Conferences and Courses: 17–22 January This is the place to be in January …
SEMI HQ
SEMI HQ, 673 S Milpitas Blvd., Milpitas, CA, United States
January 22-23, 2026, hosted by SEMI International, Silicon Valley, CA USA Note: HBS’26 is a hybrid event, with both in-person and virtual participation via WebEx. Download the Call for Presentations! Hybrid Bonding has emerged as the technology of choice in the semiconductor and heterogeneous integration industries for ultra-fine-pitch interconnection. With significant benefits for interconnect density and device …
San Francisco, CA
San Francisco, CA, United States
About this event Stay connected with the latest optical design product innovations across CODE V, LightTools, RSoft, ImSym, and our optical scattering measurement solutions. Get tips and tricks on design best practices from our experts, and network with industry peers and the Keysight Optical Design Engineering team. The user conference is held in parallel with …
Timing closure is one of the most challenging aspects of ASIC design. While traditionally seen as a backend process, its resolution begins at the architectural level and extends through the implementation stages. This webinar examines the key obstacles designers encounter and demonstrates how our timing closure solutions deliver comprehensive support throughout the entire design process. …
In this webinar, we will explore the growing threat that AI-fueled cyberattacks pose to chip designs and how to add expert-level security verification to your design flow to minimize those risks. We will expose some of the details of the existential risk for electronic systems with real examples. We will then describe technology that easily …
San Francisco Marriott Marquis
San Francisco Marriott Marquis, 780 Mission Street, San Francisco, CA, United States
About ISSCC The International Solid-State Circuits Conference is the foremost global forum for presentation of advances in solid-state circuits and systems-on-a-chip. The Conference offers a unique opportunity for engineers working at the cutting edge of IC design and application to maintain technical currency, and to network with leading experts. What’s New Download the ISSCC 2026 …
All the Solutions for Developing Chiplets 2025 Keynote Addresses from Industry Leaders: Alphawave Semi, Arm, Cadence Design Systems, Keysight, Open Compute Project, Synopsys, Teradyne 2025’s Main Topics Included: AI/ML Acceleration, …
Hyatt Regency San Francisco Airport
1333 Bayshore Highway, Burlingame, CA, United States
Formatting Advanced Packaging for the Next Generation The evolution of Advanced Package Technology is experiencing substantial changes as system designs directly drive package performance requirements—an unprecedented development in the industry. Historically, architects constructed circuits within packaging constraints to prevent undesirable outcomes. Nevertheless, increasing transistor expenses and the demand for improved power efficiency necessitate advancing package …
Semiconductor and integrated circuit developments continue to proceed at an incredible pace. For example, today's application-specific ICs and microprocessors can contain upwards of 100 million transistors. Traditional testing relies on the stuck-at-fault (SAF) to model defect behavior. Unfortunately, the SAF model is a poor model for defects. Other models and strategies are required to catch …
San Jose McEnery Convention Center
San José McEnery Convention Center, 150 W San Carlos St, San Jose, CA, United States
From materials to metrology: pushing the limits of lithography Share your research, challenges, and breakthroughs at this leading semiconductor conference in San Jose Submit your abstract and connect with leading researchers advancing solutions in optical lithography, EUVL, patterning technologies, metrology, and process integration for semiconductor manufacturing and related applications. Call for papers is now open. …
Rosen Shingle Creek
Rosen Shingle Creek, 9939 Universal Blvd, Orlando, FL, United States
FSI presents the 4th annual Florida Semiconductor Summit in 2026. Join industry leaders, innovators, and exhibitioners as we explore groundbreaking developments and the evolving future of semiconductor manufacturing in Florida. You’re invited to the 2026 Florida Semiconductor Summit! From February 23rd – 25th, 2026, the Florida Semiconductor Institute is hosting the fourth annual Florida Semiconductor Summit at …
Semiconductor and integrated circuit developments continue to proceed at an incredible pace. The industry as a whole has gotten to this point of incredible complexity through the process of countless breakthroughs and developments in wafer fab processing. Today's wafer fab contains some of the most complex and intricate procedures ever developed by mankind. Wafer Fab Processing is …
The WIGWAM
The Wigwam, 300 E Wigwam Blvd, Litchfield Park, AZ, United States
FLEX 2026 | TECHNOLOGY SUMMIT | FEBRUARY 24-26, 2026 THE WIGWAM ARIZONA RESORT | PHOENIX, AZ A 25th Anniversary Celebration Escape the winter and celebrate 25 years of innovation with us at The Wigwam Arizona Resort in Phoenix, AZ. FLEX—Technology Summit is a vibrant networking event designed to foster community building. Connect with like-minded professionals, share ideas, and forge …
Failure and Yield Analysis is an increasingly difficult and complex process. Today, engineers are required to locate defects on complex integrated circuits. In many ways, this is akin to locating a needle in a haystack, where the needles get smaller and the haystack gets bigger every year. Engineers are required to understand a variety of …
Hyatt Regency Hotel, Santa Clara, CA
Santa Clara, CA, United States
DVCon is the premier conference on the application of languages, tools, and methodologies for the design and verification of electronic systems and integrated circuits. The focus of the conference is the usage of specialized design and verification languages such as SystemVerilog, Verilog, VHDL, PSS, SystemC and e, as well as general purpose languages such as …
Fira Gran Via, Barcelona
Fira Gran Via, Av. Joan Carles I, 64, Barcelona, Spain
Making way for The IQ Era Much can happen in a year within our ecosystem of innovation and connectivity. As we build on the success of MWC25 and engage with MWC26 to activate a new theme – The IQ Era – the world is already shifting to greater heights of digital awareness. In this new age of intelligence, the way to a better future is through smarter connection: human …