Webinar: Digital Twins in Cardiology: Dream or Reality?

Online

Despite remarkable advances in cardiovascular medicine, significant challenges remain—predicting sudden cardiac death, decoding complex imaging of fibrotic substrates, tailoring catheter ablation, guiding transcatheter valve implantation, and planning intricate surgical repairs. In this session, Dr. Bessiere, cardiologist and electrophysiologist at Hospices Civils de Lyon and researcher at INSERM, joins Dr. El Houari, engineer at Ansys, to …

Webinar: Applying Digital Engineering across Space Mission Analysis and Design: Payload Design

Online

Payloads are crucial for space missions, especially in observation. This webinar covers selecting sensors for wildfire detection and the importance of modeling payloads in their mission environment. DATE/TIME: May 29, 2025 11 AM EDT Venue: Virtual Overview Payloads are at the center of every space mission. A satellite’s main goal for observation missions is to …

SNUG Europe 2025

Hilton Munich Airport Hilton Munich Airport, Terminalstraße Mitte 20, München-Flughafen, Germany

Connecting the Synopsys User Community Since 1991, the Synopsys Users Group (SNUG) has represented a global design community focused on innovating from Silicon to Systems. Today, as the electronics industry’s largest user conference, SNUG brings together over 12,000 Synopsys tool and technology users across North America, Europe, Asia, and Japan. In addition to peer-reviewed technical …

2025 ISPE Biotechnology Conference

The Westin Boston Seaport District The Westin Boston Seaport District, 425 Summer St, Boston, MA, United States

Hear From Top Industry Thought Leaders as They Showcase Challenges and Solutions Impacting the Pharmaceutical Industry The 2025 ISPE Biotechnology Conference will bring together leading pharmaceutical and biopharmaceutical manufacturers, technology providers, academic scientists, and international regulators to network, share insights, and provide an outlook on the evolving landscape and future of the development and manufacturing …

Realize LIVE Americas 2025

Detroit, MI Detroit, MI, United States

Join our community of thinkers and doers in design, manufacturing, and lifecycle management to accelerate your digital transformation. Detroit, Michigan | June 2-5, 2025 Registration opens Jan. 14, 2025. Where your digital transformation gets real. Fast. Join our community of thinkers and doers in design, manufacturing, and lifecycle management to accelerate your digital transformation. Relive …

Digital Mission & Systems Engineering Summit

Engineers House Engineers House, The Promenade, Clifton Down, Bristol, United Kingdom

Join us to see how leading companies are adopting Digital Engineering to streamline processes, modernize legacy systems, and accelerate the deployment of new technologies.  This 2-day conference will highlight solutions and the achievements of Ansys' customers, showcasing what’s possible through Model-Based Engineering, Simulation and AI. Date: June 3 - 4, 2025 Venue: Engineers House, The Promenade, …

Webinar: Smart Manufacturing and Operations Enabled by Simulation

Online

Explore how simulation engineering can transform smart manufacturing and operations, revolutionizing your product and process design while boosting performance and efficiency. DATE/TIME: June 3, 2025 11 AM EST Venue: Virtual Overview Discover the transformative power of simulation engineering in enabling smart manufacturing and operations. Uncover how this innovative approach can revolutionize product and process design, …

Webinar: Transforming RF PCB Design: Advanced Co-Simulation and EM Analysis

Online

Explore how cutting-edge tools from Cadence are transforming RF PCB design for wireless, aerospace, and automotive innovations. This webinar showcases the seamless integration of Allegro X and the AWR Design Environment to simplify RF IP integration and streamline full PCB system design. Learn how automation and advanced electromagnetic (EM) can help you deliver accurate, reliable …

Webinar: Enhancing Automotive Safety Verification Using Questa One Sim FX

Online

Wednesday, June 4 - 8:00 AM Pacific In today's automotive electronics, ensuring functional safety is paramount for meeting stringent industry standards. This webinar introduces Questa One Sim FX, a cutting-edge fault simulation platform designed specifically for complex automotive designs. We'll explore how this high-performance tool revolutionizes the safety verification process through intelligent fault injection at …

Webinar: Transform Engineering Education with Coupled Simulations and Experiments

Online

Explore how simulation-driven case study learning and hands-on experimentation can transform engineering education. Join our webinar for strategies to enhance engagement and skills. Register today. DATE/TIME: June 4, 2025 11 AM EDT Venue: Virtual Overview Engineering simulation is a critical tool in modern design and analysis, allowing engineers to validate and optimize complex systems before …

Webinar: Using Digital Engineering for a Structural, Thermal, and Optical Performance Workflow

Online

This webinar discusses Ansys' integrated STOP workflow, highlighting how it streamlines analysis, accelerates time-to-market, and improves optical system reliability through seamless integration and automation. DATE: June 5, 2025 Venue: Virtual Overview Ansys is a digital engineering technology partner. This webinar is part of a series that showcases how these technologies empower businesses to design, simulate, …

Webinar: Intel Presents: Modern EDA Solutions for Scalable Heterogeneous Systems

Online

Featured Speakers: Vivek Rajan, Sr. Principal Engineer, Intel Amlendu Choubey, Sr. Director, Product Management, Synopsys Why You Should Attend: Rapidly emerging new workloads and disruptive architectures have highlighted the importance of advanced packaging and 3DIC technologies. The scaling of Moore’s Law has pushed the boundaries of Electronic Design Automation (EDA) over the last 40 years. The …

Webinar: Getting Started with Ansys HFSS

Online

Join the Foundational Fridays webinar on Ansys HFSS, tailored for undergraduates. Understand the user interface, create designs, and learn about various solvers through a step-by-step example. DATE/TIME: June 6, 2025 11 AM EDT Venue: Virtual Overview In this Ansys Foundational Fridays webinar, a comprehensive guide on getting started with Ansys HFSS will be provided. It …

PCI-SIG Developers Conference 2025

Santa Clara Convention Center Santa Clara Convention Center, 5001 Great America Pkwy, Santa Clara, CA, United States

The PCI-SIG Developers Conference 2025 is returning to Santa Clara on June 11-12, 2025! Members of the PCI-SIG community including systems architects, designers, engineers, and engineering managers all agree this is an event you won’t want to miss. Overview The PCI-SIG Developers Conferences is a free event for our 900+ member companies that develop and bring to market …

TSMC Technology Symposium Japan 2025

Yokohama, Japan Yokohama, Japan

Japan Technology Symposium Date Wednesday, June 11 Time 10:00 a.m. - 6:00 p.m. Venue Pacifico Yokohama North, 1-1-2, Minatomirai, Nishi-ku, Yokohama 220-0012, JAPAN Registration will be closed on 6/4. VoD (Video on Demand) will be available starting from 7/3. Registration will close on 7/25. Get the latest on: TSMC's industry-leading HPC, Smartphone, IoT, and Automotive …

List Tech Days 2025

CEA-LIST - Nano-INNOV CEA-LIST - Nano-INNOV, 2 Boulevard Thomas Gobert, Palaiseau, France

Join us at List Tech Days 2025 and be among the first to discover the groundbreaking technologies and innovations that will revolutionize your business. With over 500 industry decision-makers in attendance, this is your opportunity to connect with top-tier experts and gain valuable insights from keynote speeches and round table discussions, explore cutting-edge tech demonstrators and see firsthand the innovations that will drive …

Webinar: Tackling Emerging DFT Verification Challenges with Questa One

This course will be held Online

Rising semiconductor complexity—driven by multi-die architectures, the move towards more advanced technology nodes, and more stringent reliability targets, is dramatically increasing the volume of verification required to achieve DFT verification sign-off. Come learn how the Questa One DFT Verification solution, combined with Tessent Silicon Lifecycle Solutions delivers an evolution in user experience and performance to …

Webinar: AI/ML Algorithm Design and Testing Toward 6G

Online

June 12, 2025 | 10:00 AM PDT 1 Hour Artificial intelligence and machine learning (AI/ML) are revolutionizing wireless communications, creating opportunities for innovation and greater efficiency in the communication landscape. However, designing and testing AI/ML algorithms for dynamic and complex wireless environments presents unique challenges. Join Keysight’s 6G expert Abhinav Mahadevan as he explores the integration …

Webinar: EMX Planar 3D Solver – Key New Features and Updates

Online

Webinar Details The increasing complexity of chip designs that leverage 3D-IC technology, heterogeneous integration, and other manufacturing advancements, emphasizes the need for accurate modeling of electromagnetic (EM) crosstalk. EM solvers continue to play a key role in solving larger problems both in terms of layout size and number of ports. The latest release of the …

Webinar: How Kärcher Automates Materials Data Workflows

Online

This two-part webinar will explore improving productivity and efficiency by connecting enterprise systems with your materials data ecosystem using the Python Scripting Toolkit (STK) and PyGranta APIs. Then, we'll dive into Kärcher's success story, discussing how they managed to streamline their workflows by automating data transfers from test equipment and other enterprise systems, such as …

Webinar: Design and Test: Critical Links for Mission Success

Online

Ansys partner Keysight Technologies will describe how digital engineering based on hardware-in-the-loop testing during the early prototyping (implementation) stages can improve confidence in space missions where robust and resilient communications and space vehicle power systems are a critical requirement.  Explore how design validation and verification strategies during the implementation phase of these complex systems can …

Webinar: Implementing High Performance Real-Time Designs Using Synopsys ARC Processor IP

Online

Featured Speakers: Rick Furtner, Processor IP Applications Engineering, Synopsys Why You Should Attend: Join us for an insightful webinar where we delve into the latest advancements in Synopsys ARC Processor IP, designed to meet the stringent demands of real-time processing systems across industries. Gain valuable knowledge on how these innovations can optimize your designs for …

Webinar: Implementing High Performance Real-Time Designs Using Synopsys ARC Processor IP

Online

Featured Speakers: Rick Furtner, Processor IP Applications Engineering, Synopsys Why You Should Attend: Join us for an insightful webinar where we delve into the latest advancements in Synopsys ARC Processor IP, designed to meet the stringent demands of real-time processing systems across industries. Gain valuable knowledge on how these innovations can optimize your designs for …

MPSoC 2025

Les Fermes de Marie Les Fermes de Marie, 163 Chem. des Épis, Megève, France

Focus Multicore and Multiprocessor SoCs (MPSoCs) started a new computing era, but brought a twofold challenge: building HW easy to use by SW designers and building SW that fully exploits HW capabilities. The main domains addressed at MPSoC Forum are related to adapting HW and SW for better cost, performances and energy efficiency of next …

IMS2025

Moscone Center 747 Howard Street, San Francisco, CA, United States

Welcome to IMS2025 It is our great pleasure to welcome you to San Francisco, California, for the 2025 IEEE Microwave Theory and Technology Society (MTT-S) International Microwave Symposium (IMS2025), taking place on 15-20 June 2025 in the City by the Bay. Co-located with the IEEE Radio Frequency Integrated Circuits Symposium (RFIC) and the Automatic Radio …

Discuss Digital Engineering with Ansys Executive Leaders at Paris Air Show 2025

Paris, France Paris, France

Request a meeting in chalet 214 at Paris Air Show 2025 to learn why aerospace and defense organizations are partnering with Ansys to realize the full potential of digital engineering. Access is limited to guests with scheduled meetings. You are also invited to stop by Ansys and our channel partner DynaS+ booth in Hall 4 …

Turbomachinery Technical Conference & Exposition 2025

Renasant Convention Center Renasant Convention Center, 255 N Main St, Memphis, TN, United States

Turbo Expo 2025: AI & Turbomachinery Make your plans today to join 2,500-plus turbomachinery and propulsion engineering leaders from industry, academia, and government at Turbo Expo in Memphis, June 16-20, 2025. Attend Turbo Expo to: Find your next R&D partner or employer: Meet R&D leaders from top companies, universities, and government labs at dedicated networking events, …

The International Paris Air Show 2025

Parc des Expositions de Paris Le Bourget Parc des Expositions de Paris Le Bourget, Paris-Le Bourget Airport, Le Bourget, France

For over a century, the International Paris Air Show at Le Bourget has been the prime meeting place for the global aerospace industry. Each new edition promises to be a fascinating epic. From intrepid pioneers to cutting-edge technologies, this show is where innovation takes flight, dreams soar, and the future is shaped. Join us for …

Global Offshore Wind 2025

ExCeL London Royal Victoria Dock, 1 Western Gateway, London, United Kingdom

Last year, we celebrated the sector "coming of age" and reaching maturity and scale. This year, the conference will focus on the urgent actions needed to reach UK and global offshore wind deployment goals for 2030. Our programme "Mission: Possible", will highlight the urgency and ambition of reaching our clean energy missions over the next few …

CEA-Leti Innovation Days – LID World Summit

Grenoble, France

CEA-Leti’s flagship event Beyond algorithms, what will it take for AI to realize its full potential for businesses and society? Advanced semiconductors! Join global semiconductor industry leaders at LID World Summit 2025 for inspiring keynotes, insight-filled conferences, and exciting demos of what’s next in CEA-Leti’s lab-to-fab pipeline. You’ll come away with concrete answers to your …

SNUG Taiwan 2025

Sheraton Hsinchu Hotel Sheraton Hsinchu Hotel, No. 265號, E Section 1, Guangming 6th Rd, Zhubei City, Hsinchu County, Taiwan

Connecting the Synopsys User Community Since 1991, the Synopsys Users Group (SNUG) has represented a global design community focused on innovating from Silicon to Systems. Today, as the electronics industry’s largest user conference, SNUG brings together over 12,000 Synopsys tool and technology users across North America, Europe, Asia, and Japan. In addition to peer-reviewed technical …

Warship International Conference 2025

Adelaide Convention Centre Adelaide Convention Centre, North Terrace, Adelaide, SA, Australia

Sponsored by BMT, Babcock, Defence SA, ANSYS, and SH Defence, University of Adelaide, ASC and Supported by the RINA Australian Division, Royal Institution of Naval Architects is once again hosting the highly popular Warship International Conference, with the 2024 instalment to be held on 18-19 June 2024 in Adelaide, Australia. The increasing complex warship design …

Webinar: Teaching Dynamic Analysis with Ansys LS-DYNA

Online

This webinar will teach explicit dynamics essentials, focusing on how Ansys LS-DYNA can be used to simulate and analyze dynamic events such as crashes, impacts, and high-speed motion. Attendees will gain hands-on experience with simulation tools and techniques that form the foundation of dynamic analysis in engineering. We'll explore how integrating Ansys LS-DYNA into an …

Webinar: Accelerating Functional Coverage with Questa One Sim CX

Online

This webinar introduces Questa One Sim CX, an innovative coverage-driven simulation solution that revolutionizes SystemVerilog UVM verification workflows. Traditional coverage closure methods, relying on constrained-random stimulus generation and iterative manual adjustments, often prove time-consuming and resource-intensive. Questa One Sim CX addresses these challenges by automatically inferring relationships between functional coverage bins and randomized stimulus variables, …

Webinar: Eliminate Late Stage BOM Issues – Design Smarter from the Start

Online

DATE: Wednesday, June 18, 2025 TIME: 8:00am PDT | 11:00am EDT | 3:00pm GMT | 8:30pm IST Experience the future of Engineering BOM Management with OrCAD X. Our innovative Live BOM feature revolutionizes your design and supply chain processes, empowering your projects with unmatched visibility, optimization, and control. Join our webinar to learn how OrCAD X Live …

Webinar: Reimagine Semiconductor Fab Operations with the Digital Twin

Online

About This Webinar Are you ready to disrupt decades of outdated processes and lead a smarter, more sustainable future in semiconductor manufacturing? While the digital twin has long been a cornerstone of chip design, its power has yet to be fully harnessed on the fab floor. Meanwhile, manufacturers experience increased pressure from rising costs, slow …

Webinar: Digital Engineering for ePowertrain Development for Automotive

Online

This webinar demonstrates the end-to-end technology used in developing an electric powertrain for automotive applications using a model-based systems engineering (MBSE) framework. DATE: June 19, 2025 Venue: Virtual Overview As automotive electrification accelerates, developing efficient and reliable electric powertrains requires engineering teams to work across and manage complex multi-domain interactions. Model-Based Systems Engineering (MBSE) offers …

Webinar: Supercharge Simulation Predictions with an AI SaaS Platform

Online

Join our webinar to learn how cybersecurity systems protect data, ease cloud computing concerns, and boost confidence in cloud-native solutions with insights from leading providers like AWS. DATE/TIME: June 19 , 2025 10AM EDT Venue: Virtual Overview Many essential tools in our professional and personal lives now utilize Software as a Service (SaaS). But can …

CadenceTECHTALK: High Performance Hierarchical IR Signoff for Large SoCs and 3D-ICs

Online

Webinar Details IR signoff for advanced SoCs and 3D-ICs is a major challenge due to extremely large and complex power networks that can exceed 100 billion nodes. Designers are faced with very long runtimes and very large compute resource requirements amounting to thousands of CPUs and 100TB+ memory to run a full-chip flat. In this …

ISCA 2025

Tokyo, Japan Tokyo, Japan

The International Symposium on Computer Architecture (ISCA) is the premier forum for new ideas and experimental results in computer architecture. In 2025, the 52nd edition of ISCA will be held in Tokyo, Japan, at Waseda University during June 21 – 25, 2025. The program promises to be truly exciting, featuring not only forward-looking and novel technical paper presentations, but …

DRC 2025 | The 83rd Device Research Conference

Duke University Duke University, Durham, NC, United States

This year marks the 83rd anniversary of the DRC—the longest-running device research meeting in the world. The high-caliber technical sessions are highlighted by plenary talks and invited talks by international research pioneers and leaders behind modern electronic technology. About DRC 2025 For eight decades, the Device Research Conference (DRC) has brought together leading scientists, researchers and …

DAC 2025

Moscone West Moscone West, San Francisco, CA, United States

About DAC DAC is recognized as the global event for chips to systems. DAC offers outstanding training, education, exhibits and superb networking opportunities for designers, researchers, tool developers and vendors. The conference is sponsored by the Association for Computing Machinery (ACM) and the Institute of Electrical and Electronics Engineers (IEEE) and is supported by ACM's …

ALD/ALE 2025

International Convention Center Jeju (ICC Jeju) International Convention Center Jeju (ICC Jeju), 224 Jungmungwangwang-ro, Seogwipo-si, Jeju-do, Korea, Republic of

Overview The AVS 25th International Conference on Atomic Layer Deposition (ALD 2025) featuring the 12th International Atomic Layer Etching Workshop (ALE 2025) will be a three-day meeting dedicated to the science and technology of atomic layer controlled deposition of thin films and atomic layer etching.  Since 2001, the ALD conference has been held alternately in the United States, Europe …

SMC 2025 – The Strategic Materials Conference

Hayes Mansion Hayes Mansion, 200 Edenvale Ave, San Jose, CA, United States

The New Era of Materials Innovation The Strategic Materials Conference—SMC offers valuable content and unprecedented networking opportunities for semiconductor industry professionals who share common strategic objectives on materials innovation, management, and business success. KEYNOTES—EMD Electronics, Microsoft, NVIDIA, and TSMC EXECUTIVE PANEL— Impact of US Policy on the Semiconductor Industry Market Geopolitical, and Economic Trends Materials in Next …

Sensors Converge 2025

Santa Clara Convention Center Santa Clara Convention Center, 5001 Great America Pkwy, Santa Clara, CA, United States

Discover the Future of Sensors and Electronics at North America’s Largest Electronics Event for Design Engineers Be at the forefront of innovation where sensing, processing, and connectivity unite! Join the sensors and electronics community from June 24-26 at Sensors Converge, the ONLY event that dives deep into the most impactful design engineering trends. From sparking innovation and advancing process design …

World of Quantum 2025

Trade Fair Center Messe Munich Munich, Germany

Your visit to the World of Quantum - a quantum leap for your success As the world's largest trade fair for quantum technology, the World of Quantum offers the perfect overview of the industry. Why a visit to the trade fair is also worthwhile for you, especially in the international year of quantum science and …

Digital Logic 101 Workshop

Online

This workshop provides a foundational introduction to digital logic, tailored for beginners and professionals who want to understand the principles of digital design. The emphasis of this course is on: How many bits make a byte Understanding flip flops Introducing binary and binary arithmetic Combinational and sequential logic Fundamental digital design techniques Intro to logic …

Accellera at the 62nd Design Automation Conference – Luncheon Panel

Moscone West Moscone West, San Francisco, CA, United States

“Can AI Cut Costs in Electronic Design & Verification While Accelerating Time-To-Market?” Industry leaders will discuss the transformative role of AI in semiconductor design and verification. As AI rapidly evolves, its potential to reduce costs, shorten time-to-market and address impending talent shortages is becoming increasingly evident—but what are the real-world opportunities and challenges? This panel …

Siemens Calibre Seminar

Moscone West Moscone West, San Francisco, CA, United States

June 24, 2025 12:00 PM -1:00 PM Moscone West | San Francisco, CA Calibre: Supercharge your chip integration efforts Siemens is excited to host an exclusive event for our customers at the Design Automation Conference Join us at DAC for lunch and learn how our new products can supercharge your chip integration efforts: - Chip …

Webinar: Coaxial Socket Test Strategy and Methods Review and Demonstration

Online

Learn how a comprehensive testing strategy can enhance your coaxial socket design from development to production maintenance. Benefits include optimized socket design, established OQC criteria, maintenance of sockets for optimum performance and yield. DATE/TIME:   June 25, 2025 Session 1: 9AM (PT-Pacific Time) Session 2: 6PM  (PT-Pacific Time) Venue: Virtual Overview With the increase in …

The 67th Electronic Materials Conference (EMC) 2025

Duke University Duke University, Durham, NC, United States

EMC provides a unique opportunity for researchers from  academia, government labs, and industry to meet and share the  latest cutting-edge results across the broad range of fields under  the umbrella of electronic materials. About EMC 2025 The Electronic Materials Conference (EMC) is the premier annual forum  on the preparation, characterization, and applications of electronic  materials. …

3D & Systems Summit 2025

Hilton Dresden Hotel Hilton Dresden Hotel, An der Frauenkirche 5 D, Dresden, Germany

Themed Heterogeneous Integration: Bolstering Europe's Resilience the 3D & Systems Summit 2025 will primarily focus on exploring strategies for enhancing Europe's semiconductor industry addressing topics such as geopolitical dynamics, market trends, as well as the latest advancements in chiplet applications and hybrid bonding techniques. The Summit will feature an exclusive exhibition area, showcasing industry leaders alongside innovative …

Synopsys Virtual Prototyping Day 2025

Online

Join us for our 5th Annual Virtual Prototyping Day to learn how you can Shift-Left your development cycle with virtual prototypes. This popular series invites our customers and partners to speak about how they solve the challenges of highly complex SoC and multi-die designs using virtual prototypes. Presenters this year include NVIDIA, Meta, Microsoft, Raytheon, and …

TSMC 2025 China Technology Symposium 2025

Shanghai International Convention Center (SHICC) No.2727, Riverside Avenue, Pudong, Shanghai, China

Get the latest on: TSMC's industry-leading HPC, Smartphone, IoT, and Automotive platform solutions to advance the AI future TSMC’s advanced logic technology progress on 5nm, 4nm, 3nm, 2nm, A16 processes and beyond TSMC 3DFabric® advanced silicon stacking and packaging technology advancement on TSMC-SoIC®, InFO, CoWoS®, and TSMC-SoW™ TSMC’s specialty technology breakthroughs on ultra-low power, RF, embedded …

ESD Alliance Master Class: Introduction to Chip Design and Electronic Design Automation

Online

Overview of the design of today's complex chips with Electronic Design Automation tools. June 25, 2025 | 10:00am-11:30am PT Complex semiconductor chips power today’s cell phones, cars, computers, and more. This on-line Master Class will provide non-technical people who work in and around the chip design industry a high-level overview and understanding of how these …

Webinar: Verifying Chiplet-based Systems

Online

Verifying Chiplet-based Systems (online) As the semiconductor industry increasingly embraces chiplet-based architectures, the complexity of system integration and verification has grown exponentially. Verifying these modular systems demands new approaches, tools, and collaboration across design and verification teams. This online edition of DVClub will focus on the challenges, strategies, and breakthroughs in verifying chiplet-based systems. Industry …

Webinar: Security for AI SoCs: Practical Solutions for the Challenges of Today and Tomorrow

Online

Featured Speakers: Mike Borza, Scientist and Principal Security Technologist, Synopsys Dana Neustadter, Senior Director of Product Management, Synopsys As AI systems become increasingly vital across industries, ensuring their security and integrity is more critical – and challenging – than ever. Join Synopsys in this insightful webcast to explore: Why AI security is essential The unique …

Webinar: Integrating HLS Modules into Block Designs

Online

Description BLT, an AMD Premier Design Services Partner and Authorized Training Provider, presents this webinar. Are you struggling to bridge the gap between high-level algorithm design and efficient FPGA implementation? Integrating High-Level Synthesis (HLS) into your Vivado block designs can be a game changer, but many designers face challenges in streamlining their workflows and ensuring …

Auto.AI USA

Hyatt Regency San Francisco Downtown SOMA Hyatt Regency San Francisco Downtown SOMA, 50 3rd St, San Francisco, CA, United States

Tech Summit on AI, Machine Learning, Neural Networks & Cognitive Computing for Highly Autonomous Vehicles Auto.AI USA – America’s No. 1 Event on Deep Driving for Level 3+ Automated Driving. Auto.AI USA is the leading conference on artificial intelligence for SAE Level 3 to 5 autonomous vehicles. Meet top automotive industry experts and decision-makers in …

Verification Futures Conference 2025

Reading (UK) and online Reading, United Kingdom

Why Attend? Attendees will gain access to cutting-edge technical content and expert presentations in: AI-Enhanced Semiconductor and IP Design Next-Generation IP Architectures and Verification Strategies Innovative Design Methodologies and Flows Emerging and Breakthrough Technologies Design for AI/ML Acceleration and Edge Computing REGISTER HERE

GSA TECH Summit 2025

Samsung Semiconductor Samsung Semiconductor, 3655 N 1st St, San Jose, CA, United States

The GSA TECH Summit brings together industry leaders and experts to explore the emerging technologies that are shaping the future of the semiconductor industry. Speakers will share their insights and thought leadership on the latest growth drivers, leading-edge technologies, and evolving market trends, while also addressing the challenges surrounding the industry. The TECH Summit serves …

SNUG India 2025

Sheraton Grand Bengaluru Whitefield Sheraton Grand Bengaluru Whitefield, PRESTIGE SHANTINIKETAN, Hoodi, Thigalarapalya, Whitefield, Bengaluru, Karnataka, India

Connecting the Synopsys User Community SNUG conferences have connected Synopsys global users for more than three decades. SNUG 2025 will once again provide a place where users and technical experts can meet, network, and share ideas about chip and system design. SNUG India 2025  will be held on July 10, 2025 at Bengaluru. REGISTER HERE

Webinar: Securing Post-Quantum Implementations Against Physical Attacks

Online

Description We’ll dive into one of the most pressing questions in the community: Are physical attacks practical against post-quantum schemes in the real world? If yes, how to harden the code or the hardware? We’ll explore: How can cryptographic algorithms and their implementations be targeted by physical attacks? Leveraging a novel attack surface and increased …

Webinar: Data Center RAS in the Age of AI Computing

Online

Thursday, July 10, 2025 10:00am PDT | 1:00pm EDT | 19:00 CEST As AI revolutionizes industries, the demand for high-performance, low power computing intensifies, placing unprecedented requirements on data centers. This panel will explore the transformative impact of AI processing on data center reliability, availability, and serviceability (RAS), examining factors of system predictability, scalability, data integrity and power efficiency …

IEF 2025

Zagreb, Croatia Zagreb, Croatia (Local Name: Hrvatska)

For speaker and sponsorship opportunities please contact Malcolm About the Forum Obtain the latest industry outlook from proven industry gurus Listen to visionary presentations from leading industry semiconductor organisations Debate future industry challenges and markets Engage with other forum delegates, and develop new business relationships Enjoy Future Horizons' unmatched forum structure and hospitality International Electronics Forum brochure here Why Attend? It’s vertical … …

Korean Test Conference

Suwon Convention Center Suwon Convention Center, 140 Gwanggyojungang-ro, Yeongtong-gu, Suwon-si, Gyeonggi-do, Korea, Republic of

The 26th Korea Test Conference will be held at Suwon Convention Center on Monday, July 14, 2025. The Korea Semiconductor Testing Society holds a test conference every year to share research results and technology with experts in related fields so that it can contribute to the revitalization and strengthening of the domestic semiconductor testing industry. …

Webinar: What it really takes to build a future-proof AI architecture?

Online

Abstract As AI workloads increasingly dominate numerous cloud and edge applications, building a scalable, efficient, and future-ready AI chips is no longer optional—it's essential. This webinar explores the latest AI trends, highlighting the growing demand for intelligent processing closer to the data source and the critical role of NPUs in enabling this shift. We’ll dive …

Ansys Simulation World 2025

Online

POWERING INNOVATION THAT DRIVES HUMAN ADVANCEMENT Having the right solution at the right time can mean the difference between tabling thoughts or transforming industries. Ansys technologies put the power of innovation in your hands. Join us for a free global simulation event that is designed to inspire, equip and empower you to innovate. REGISTER HERE

Semitracks Course: Silicon Photonics Technology and Applications

San Jose, CA

The proliferation of cloud computing and artificial intelligence is driving significant performance improvements in data center processing. Unfortunately, the connections between these servers, and even the connections between the boards in these servers, is limiting performance and driving up power dissipation. Silicon photonics promises a solution to these problems. Compared to standard integrated circuit technology, …

Webinar: Unpacking System Performance: Supercharge Your Systems with Lossless Compression IPs

Online

*WORK EMAIL IS REQUIRED* As data volumes surge across cloud, AI, automotive, and edge systems, efficient lossless compression has become essential for meeting performance, latency, and bandwidth constraints. This webinar explores the trade-offs and strengths of the industry’s leading compression algorithms—GZIP, LZ4, Snappy, and Zstd—highlighting how hardware-accelerated implementations can overcome the limitations of software-based solutions …

9th IEEE International Test Conference India 2025

Radisson Blu Bengaluru Radisson Blu Bengaluru, Bangalore, India

ABOUT US International Test Conference is the world's premier venue dedicated to the electronic test of devices, boards and systems-covering the complete cycle from design verification, design-for-test, design-for-manufacturing, silicon debug, manufacturing test, system test, diagnosis, reliability and failure analysis, and back to process and design improvement. At ITC India, design, test, and yield professionals can …

Plasma Etching, ALE, and RIE (SEMI University)

Online

This course discusses the fundamentals of plasma assisted phenomena and reactive ion etching (RIE) processes. The emphasis is on the physical and chemical processes that determine the consequences of a reactive gas plasma/surface interaction. The role of energetic ions as encountered in RIE systems is discussed in detail and the factors which influence anisotropy of …

Vivado Quick Start with Versal Devices Workshop

Online

This online workshop introduces key concepts, tools, and techniques required for design and development using the AMD Vivado™ Design Suite for FPGAs, SoCs, and adaptive SoCs. The emphasis of this course is on: Introduction to designing FPGAs with the Vivado Design Suite Creating a Vivado project with source files Introduction to the Tcl environment in Vivado and …

Simultaneous Multi-Threading: Needed for Accelerated Heterogenous Compute and Low-Latency Data Processing

Join processor IP experts from AKEANA as they explore why Simultaneous Multi-Threading (SMT) is becoming essential for next-generation SoC designs across AI, automotive, datacentre, and edge applications. This session unpacks how SMT can unlock higher compute density, better throughput, and smarter resource utilisation; without the overhead of new architectures or costly licensing. Backed by a …

WEBINAR: Learn about Simulating Gate-All-Around Devices with Victory Atomistic

Learn about Simulating Gate-All-Around Devices with Victory Atomistic As semiconductor devices push beyond FinFETs toward Gate-All-Around (GAA) architectures, accurate modeling at the nanoscale becomes essential. Join us for an in-depth webinar showcasing how Victory Atomistic™, Silvaco’s advanced atomistic simulator, empowers researchers and engineers to explore and optimize GAA transistor performance with quantum-level precision. In this session, …

HLS Hackathon 2025

Online

Wednesday, July 2, 2025 - Friday, October 31, 2025 Accelerating Inferencing Using HLS Hackathon Energy efficiency is essential for edge devices, especially those powered by batteries or harvested energy, making low-power AI and machine learning inference a real challenge. In this hackathon focused on accelerating inferencing using HLS, your mission is to build a high-efficiency …

SPEXA – Space Business Expo

Tokyo Big Sight 3 Chome-11-1 Ariake, Koto City, Tokyo, Japan

Welcome to SPEXA​ SPEXA or the Space business expo is Japan’s exhibition specialized in space business. It is poised to become the global business center hub that brings together companies and professionals in the space industry and various sectors. This space exhibition will connect users with companies and organizations specializing in space solutions such as …

Webinar: Basic Booting for AMD Zynq and Versal Devices with Practical Tips and Techniques

Online

Description BLT, an AMD Premier Design Services Partner and Authorized Training Provider, presents this webinar. Are FPGA booting challenges causing frustrating delays and leaving you uncertain about project timelines? Have you spent countless hours wrestling with boot image creation, only to encounter hardware dependencies or secure boot issues that stall progress? Eliminate the guesswork and …

SPIE Optics + Photonics 2025

San Diego Convention Center San Diego, CA, United States

Join your colleagues in beautiful San Diego. Make plans to attend and learn about latest insights, and breakthroughs. New this year - a symposium on Astronomical Applications. We are excited to announce the addition of a fourth symposium sharing advances in space and ground telescopes, UV/x-ray/gamma-ray astronomy, exoplanet detection, and more. Join leading researchers and …

Space, Missile, Defense (SMD) Symposium 2025

Von Braun Center Von Braun Center, 700 Monroe St SW, Huntsville, AL, United States

Space and Missile Defense - Defending America at Home and Abroad The SMD Symposium is the leading educational, professional development and networking event in the space and missile defense community. The symposium is widely attended by leaders and professionals from the United States and our allies around the world. REGISTER HERE

FMS25 – Taking on the FUTURE of Memory and Storage

Santa Clara Convention Center Santa Clara Convention Center, 5001 Great America Pkwy, Santa Clara, CA, United States

FMS: the Future of Memory and Storage has expanded the scope of Flash Memory Summit to encompass all tiers of Memory and Storage. As a leading global independent conference and exhibition, FMS is now in its 19th year, offering enhanced support to the industry. Serving as a centralized hub for professional growth, industry connections, and customer …

WEBINAR: 448G: Ready or Not, Here it Comes!

Date: Aug 05, 2025 | 10:00 AM PDT Featured Speakers: Priyank Shukla, Director of Product Management, Synopsys Kent Lusted, Distinguished Architect, Synopsys   Why You Should Attend: Understand Industry Readiness: Explore the challenges and opportunities in the race to 448G SerDes and assess if the industry is prepared for this leap in high-speed serial interfaces. Learn About Emerging Standards: Gain insights into the …

Webinar: 448G: Ready or Not, Here it Comes!

Online

Featured Speakers: Priyank Shukla, Director of Product Management, Synopsys Kent Lusted, Distinguished Architect, Synopsys Why You Should Attend: Understand Industry Readiness: Explore the challenges and opportunities in the race to 448G SerDes and assess if the industry is prepared for this leap in high-speed serial interfaces. Learn About Emerging Standards: Gain insights into the latest advancements in standards shaping …

Small Satellite Conference 2025

Salt Palace Convention Center Salt Palace Convention Center, 90 S W Temple St, Salt Lake City, UT, United States

During the 39th Annual Small Satellite Conference, we will delve into the innovations, demands, and cross-market collaborations shaping the future of satellite capabilities and driving new opportunities allowing us to collectively …

WEBINAR: GPU-Accelerated Atomistic Simulations for Semiconductor Manufacturing

Online

Featured Speakers: Anders Blom, Principal Solutions Engineer, Synopsys Gabriele Penazzi, Senior Staff Engineer, Synopsys Vaida Arcisauskaite, Staff Engineer, Synopsys Join us to explore the transformative impact of atomistic simulations and GPU acceleration on materials engineering. Learn how these technologies are revolutionizing the exploration of new materials by reducing simulation turnaround times, increasing model sizes, and …

CadenceLIVE India 2025

Sheraton Grand Bengaluru Whitefield Sheraton Grand Bengaluru Whitefield, PRESTIGE SHANTINIKETAN, Hoodi, Thigalarapalya, Whitefield, Bengaluru, Karnataka, India

Where Inspiration Meets Innovation Join us on August 13 for CadenceLIVE India 2025 at the Sheraton Grand Bengaluru Whitefield Hotel & Convention Center, where Cadence technology users connect with the engineers and industry leaders who develop the solutions and the industry experts who influence market trends. Experience a day of learning, connection, and cutting-edge technology shaping …

34th USENIX Security Symposium

Seattle Convention Center 705 Pike Street, Seattle, WA, United States

The 34th USENIX Security Symposium will take place on August 13–15, 2025, at the Seattle Convention Center in Seattle, WA, USA. The USENIX Security Symposium brings together researchers, practitioners, system programmers, and others interested in the latest advances in the security and privacy of computer systems and networks. The full symposium program will be available soon; view …

WEBINAR: What to Consider When Architecting Your Next SoC: Architectural Tradeoffs, IP Selection, and Ecosystem Realities

Online

Architecting an SoC is a complicated step in building a successful chip. The first step is ensuring you have the critical requirements for your SoC captured so that the possible architectural options may be explored and the IP components that enable those architectures can be identified. Selecting the right IP can make or break your …

Chiplet and Heterogeneous Integration for Microelectronics Packaging – Virtual Training

Online

Chiplet and heterogeneous integration of packaging has been embraced as the next revolutionary innovation to meet the quest of size, cost, and performance for packaging. The technologies are seen as another disruptive technology to bring devices into a package by integrating the various Multi-chip module (MCM), 3D packaging, Through Silicon Via (TSV), and Fan-out wafer …

SNUG Korea 2025

Grand InterContinental Seoul Parnas Grand InterContinental Seoul Parnas, Teheran-ro, 521, Seoul, Gangnam District, Korea, Republic of

Connecting the Synopsys User Community Since 1991, the Synopsys Users Group (SNUG) has represented a global design community focused on innovating from Silicon to Systems. Today, as the electronics industry’s largest user conference, SNUG brings together over 12,000 Synopsys tool and technology users across North America, Europe, Asia, and Japan. In addition to peer-reviewed technical …

CadenceLIVE China 2025

Shanghai, China Shanghai, China

Are you driving design change? Do you think you have successfully overcome challenges that may affect the electronic revolution? CadenceLIVE is willing to provide a platform to share your story. Come here to show your expertise, share and provide professional skills to help engineers solve the complexity and challenges they face today. CadenceLIVE China 2025 …

ESD Alliance Webinar: SEMI’s Public Policy & Advocacy Office—A Valuable Resource for The Electronic Design Automation Industry

Online

Join us for a webinar that will explore the role of SEMI’s Public Policy and Advocacy (PP&A) team in driving policy developments that are relevant to the ESDA community. PP&A staff will present on SEMI’s advocacy efforts, how you can interface with our team in DC, and how we work with member companies to advance …

DVCon Japan 2025

TKP Garden City PREMIUM Shinagawa TKP Garden City PREMIUM Shinagawa, Minato City

The Design & Verification Conference & Exhibition is the premier conference on the application of languages, tools, methodologies and standards for the design and verification of electronic systems and integrated circuits. The conference is comprised of highly technical content, focusing on the practical aspects of design and verification techniques and their application in cutting edge …

SEMIEXPO Malaysia 2025

Pengang, Malaysia Penang, Malaysia

Penang has been a significant player in the semiconductor industry, supplying 20% of the semiconductor products imported by the US as of February 2023. The region is often referred to as the "Silicon Valley of the East," highlighting its crucial role in the global semiconductor value chain. SEMIEXPO aims to highlight Penang's role in the …

32nd IEEE Hot Interconnects Symposium

Online

Welcome to the 32nd iteration of the IEEE Hot Interconnects symposium. HotI’2025 will be held virtually. 2025 Conference Theme - Interconnect Software: You can't touch it but you need it The latest advancements in photonics, chips, parallel paths, and other cutting-edge interconnect technologies are dazzling. However, the picture is incomplete without the system software needed …

Designing DSP Applications with Versal AI Engines Workshop

Online

Designing DSP Applications with Versal AI Engines Workshop This workshop covers the AMD Versal AI Engine architecture and using the AI Engine DSP Library, system partitioning, rapid prototyping, and custom coding of AI Engine kernels. Developing AI Engine DSP designs using AMD Vitis Model Composer is also demonstrated. The emphasis of this course is on: Providing an …

Hot Chips 2025

Stanford Memorial Auditorium (MemAud) Stanford Memorial Auditorium (MemAud), 551 Jane Stanford Way, Stanford, CA, United States

Hot Chips 2025 will be held Sunday, August 24 - Tuesday, August 26, 2025 at Memorial Auditorium, Stanford, Palo Alto, CA. About Since it started in 1989, HOT CHIPS has …

SNUG Vietnam 2025

Sheraton Saigon Grand Opera Hotel Sheraton Saigon Grand Opera Hotel, 88 Đ. Đồng Khởi, Bến Nghé, Quận 1, Hồ Chí Minh, Viet Nam

Connecting the Synopsys User Community Since 1991, the Synopsys Users Group (SNUG) has represented a global design community focused on innovating from Silicon to Systems. Today, as the electronics industry’s …

lOTE Shenzhen loT Expo 2025

Shenzhen World Exhibition and Convention Center Shenzhen World Exhibition and Convention Center, Shenzhen, Baoan, China

IOTE Expo is the world's largest and most influential IoT trade platform, hosting a comprehensive series of IoT activities and conferences that encompass the entire ecosystem. As the autumn edition of IOTE Expo, IOTE Expo Shenzhen 2025 will take place from August 27-29 at Shenzhen World Exhibition & Convention Center. This premier event connects global …

Webinar: Maximizing RFSoC Potential with Functionality and Configurability

Online

Description BLT, an AMD Premier Design Services Partner and Authorized Training Provider, presents this webinar. Join us to explore the functionality and configurability of the AMD Zynq UltraScale+ RFSoC. With the RFSoC, configuring data converters is crucial for advanced system development, but the complexity often overwhelms developers, hindering progress. In this session, you'll discover the …

SEMICON India

Yashobhoomi (IICC) Yashobhoomi (IICC), Sector 25, Dwarka, Delhi, India

Accelerating India’s Semiconductor Revolution SEMI IESA India is committed to accelerating the growth and innovation of India's semiconductor and electronics manufacturing ecosystem. As part of the global SEMI organization, we bring together industry leaders, innovators, academia, government and other stakeholders to drive collaboration and technology advancements across the entire supply chain. Join us at SEMICON …

I.S.E.S. EU 2025

Dresden, Germany Dresden, Germany

Empowering Europe's Semiconductor Future: Innovation, Integration & Independence Renowned for orchestrating major regional summits across the globe, ranging from the U.S, the Middle East & Asia via our division, the International Semiconductor Executive Summits (I.S.E.S.). Our summits, are fully endorsed by local governments and leading companies in all areas of the semiconductor supply chain. Moreover, …

Cenex Expo 2025

UTAC Millbrook UTAC Millbrook, Station Lane, Bedford, United Kingdom

Our Legacy The event was established in 2008 and was formerly known as Cenex Low Carbon Vehicle Event (Cenex-LCV). It was created as a single annual gathering point for the …

Synopsys Processor IP Summit 2025

Synopsys Building 1 800 North Mary Avenue, Sunnyvale, CA, United States

Attend this free one-day event to gain in-depth insights on processor IP solutions for handling a variety of modern SoC workloads, including artificial intelligence, automotive/functional safety, IoT and more. Why Attend? Synopsys experts, partners and users will share their knowledge about current trends and technology advancements impacting SoC computing. Understand how our latest CPU IP, based …

CadenceTECHTALK: Enabling RF and mmWave Design Success with Advanced Models

Online

Date: Thursday, September 4, 2025 Time: 10:00 BST / 11:00 CEST / 12:00 EEST / 14:30 IST In the aerospace and defense (A&D) industry, where mission-critical RF and mmWave systems must perform reliably under extreme environmental conditions, precision in simulation and modeling is non-negotiable. Traditionally, S-parameter data files have been used to characterize passive and active devices, …

Catalysts of Innovation: Building Enduring Semiconductor Companies

TSMC Technology Inc. TSMC Technology Inc., 2851 Junction Avenue #101, San Jose, CA, United States

An event for startup leaders, investors, and ecosystem partners focused on building the next generation of enduring semiconductor companies. REGISTER HERE

Imagination in Action AI Summit 2025

Google HQ Google HQ, 1600 Amphitheatre Parkway, Mountain View, CA, United States

The Next Revolution of AI: Innovation Summit Join us for a full day of bold ideas, live demos, and conversations with the people building what’s next in AI. ‍ Free to attend — registration required. Join us for an exceptional summit exploring the future of artificial intelligence — featuring a world-class lineup of thought leaders, …

CadenceTECHTALK: Virtuoso ADE – MATLAB Integration and Co-Simulation with Spectre RF – MATLAB Simulink

Online

Speaker: Bo Chen, Application Engineer Architect 10:00am~11:00 am Virtuoso ADE MATLAB Integration and Co-Simulation with Spectre RF MATLAB Simulink 11:00am~11:15 am Q&A Description:  Spectre and MATLAB/Simulink co-simulation provides the co-simulation using the Cadence Spectre simulator and the MATLAB/Simulink. This session demonstrates how to set up and use a co-simulation link between the MATLAB/Simulink system-level simulation …

DVCon Taiwan 2025

Lakeshore Hotel, Hsinchu Lakeshore Hotel, No. 773號, Minghu Rd, Hsinchu, East District, Taiwan

About DVCON DVCon Taiwan is the premier conference for design and verification engineers, researchers, and managers in Taiwan's semiconductor and EDA industries. Now in its third year, DVCon Taiwan 2025 continues its mission to bring together the local and international community to exchange ideas, explore the latest methodologies, and discuss emerging trends in design and …

AI Infra Summit 2025

Santa Clara Convention Center Santa Clara Convention Center, 5001 Great America Pkwy, Santa Clara, CA, United States

The only full-stack AI & ML infrastructure event The AI Hardware & Edge AI Summit is rebranding to the AI Infra Summit to create the first comprehensive, full-stack AI Infrastructure conference, arranged in a modular way that equally enables both enterprises and technology/solution providers to benefit from domain-specific content, all while doing business on the exhibition floor. Topics covered: Hardware …

DSEI 2025

ExCeL London Royal Victoria Dock, 1 Western Gateway, London, United Kingdom

Providing a Pivotal Platform for the Global Defence Industry. DSEI is a pivotal event for the global defence industry. As the flagship defence event for the UK, DSEI promotes the UK’s defence ethos, agenda and leadership while encouraging opportunity and cooperation with our global allies. ​ The world’s leading defence organisations and most influential stakeholders …

SEMICON Taiwan 2025

TaiNEX TaiNEX, No.1, Jingmao 2nd Rd., Taipei City, Nangang District, Taiwan

Leading with Collaboration. Innovating with the World. SEMICON Taiwan 2025 will take place from September 10–12, 2025 at the Taipei Nangang Exhibition Center! This year’s exhibition will bring together over 1,100 leading semiconductor and technology companies, with more than 4,000 booths and an expected attendance of over 100,000 industry professionals, making it one of the …

Webinar: Benefit from standardization: Increase manufacturing efficiency with the Battery Automation Framework

Online

Discover how Siemens’ open, modular framework enables scalable, standardized automation for battery cell production Join us for an in-depth webinar exploring the transformative potential of Siemens' Battery Automation Framework — a cutting-edge, open, and modular toolkit designed specifically for the automation of battery production processes. This webinar will provide battery cell manufacturers with crucial insights …

CadenceTECHTALK: PSpice-Based Reliability Analysis for Critical Systems

Online

DATE: Wednesday, September 10, 2025 TIME: 8:00am PDT | 11:00am EDT | 3:00pm GMT | 8:30pm IST In mission-critical sectors like aerospace, defense, and space systems, reliability is everything. Failures carry enormous risk, making rigorous design validation essential. This webinar explores how advanced PSpice simulation techniques using Monte Carlo and worst-case analysis can help engineers evaluate statistical …

DCD Connect London 2025

Business Design Centre Business Design Centre, 52 Upper Street, London, London, United Kingdom

Connecting the data center ecosystem to design, build & operate sustainable data centers for the AI age Bringing together more than 4,000 senior leaders working on Europe's largest data center projects, DCD>Connect | London will drive industry collaboration, help you forge new partnerships and identify innovative solutions to your core challenges. "First class event that …

COMVEC

Schaumburg, Illinois Schaumburg, IL, United States

SAE International's COMVEC™ serves as the premier event, or perhaps, ecosystem where global leaders from the on-highway, off-highway, and defense sectors come together in a neutral setting to collaborate on solutions for daily challenges, both large and small. Get out of the office and join the worldwide commercial vehicle community in Schaumburg, Illinois, where we’ll …

Reimagining Custom Design with AI-Powered Virtuoso Studio

Cadence Headquarters, San Jose, CA 2655 Seely Ave, San Jose, CA, United States

Join us for an in-person seminar to explore the future of custom design and migration with AI-powered Virtuoso Studio. Discover how the latest innovations from Cadence are transforming analog, custom, RFIC, and MMIC design. Learn how these advancements enable faster, smarter insight analysis and more precise workflows using agentic AI.  We will discuss: Harnessing Agentic …

electronica India

Bangalore International Exhibition Centre 10th Mile, Tumkur Road, Madavara Post, Dasanapura Hobli, Bengaluru, Karnataka, India

Welcome to electronica India Founded in 2000, electronica India is now together with productronica India South Asia's leading trade fair for the electronics industry. electronica India takes place in conjunction …

SPIE Photomask Technology + Extreme Ultraviolet Lithography 2025

Monterey, CA Monterey, CA, United States

Share your research and join the outstanding program for 2025 Make plans to join the premier worldwide technical meeting for photomasks, patterning, metrology, materials, inspection/repair, mask business, extreme UV lithography, and emerging technologies. We are still accepting post-deadline abstract submissions, which are subject to chair approval. SPIE partners with researchers, educators, and industry to advance …

European Microwave Week (EuMW 2025)

Jaarbeurs Convention Centre Jaarbeurs Convention Centre, Jaarbeursplein 6, Utrecht, Netherlands

The 28th edition of the European Microwave Week (EuMW 2025) will come to Utrecht to continue the annual series of highly successful microwave events that started back in 1998. EuMW 2025 comprises three co-located conferences: The European Microwave Conference (EuMC) The European Microwave Integrated Circuits Conference (EuMIC) The European Radar Conference (EuRAD) In addition, EuMW …

International Test Conference – ITC 2025

Hilton San Diego Bayfront 1 Park Blvd, San Diego, CA, United States

About ITC International Test Conference, the cornerstone of TestWeek™ events, is the world’s premier conference dedicated to the electronic test of devices, boards and systems-covering the complete cycle from design verification, test, diagnosis, failure analysis and back to process and design improvement. At ITC, test and design professionals can confront the challenges the industry faces, …

North American Supply Chain Executive Summit (NASCES) 2025

The Red Rock Casino Resort & Spa The Red Rock Casino Resort & Spa, 11011 W Charleston Blvd, Las Vegas, NV, United States

The North American Supply Chain Executive Summit is a premier gathering of industry leaders, supply chain executives, and experts dedicated to exploring innovative strategies, emerging trends, and best practices in …

CadenceTECHTALK: Next-generation Spectre FX FastSPICE Simulator and Spectre X Integration with GPU

Online

Speaker: Soo Chuan Tang, Principal Application Engineer 10:00am~11:00am Next-Generation Spectre FX FastSPICE Simulator and Spectre X Integration with GPU 11:00am~11:15am Q&A Description: Leveraging a FastSPICE engine built from the ground …

GPDIS 2025

Hilton Phoenix Tapatio Cliffs Resort Hilton Phoenix Tapatio Cliffs Resort, 11111 N 7th St, Phoenix, AZ, United States

The Focus: The Global Product Data Interoperability Summit (GPDIS) functions as a communications hub for industry principals to foster knowledge through the exchange of ideas, solutions, and methods. It is a place to build consensus on the data, tool and process standards based on the experience of liked minded professionals. Unlike any other conference, GPDIS …

2025 U.S. Executive Forum

Menlo Park, CA Menlo Park, CA, United States

The US Executive Forum is GSA’s most prestigious, invitation-only event, designed exclusively for industry leaders. This unique gathering unites influential executives, visionary thought leaders, and top subject matter experts for an unparalleled exchange of ideas and collaborative dialogue. Dive deep into the dynamic landscape of the global semiconductor value chain, where industry leaders will share …

Microelectronics UK 2025

ExCeL London Royal Victoria Dock, 1 Western Gateway, London, United Kingdom

The Premier Event for the UK Microelectronics Industry Join thousands of your peers at the premier event uniting the entire value chains across the microelectronics, semiconductor, photonics and embedded systems industries, uniquely showcasing the UK’s world-class expertise in R&D, design innovation, and advanced manufacturing. Microelectronics UK is where innovation thrives, connections flourish, and trade accelerates. By …

The International Conference on Simulation of Semiconductor Processes and Devices (SISPAD) 2025

Maison MINATEC Congress Center Maison MINATEC Congress Center, 3 parvis Louis Néel, Grenoble, France

The International Conference on Simulation of Semiconductor Processes and Devices (SISPAD) provides an international forum for the presentation of leading-edge research and development results in the area of process and device simulation. SISPAD is one of the longest-running conferences devoted to technology computer-aided design (TCAD) and advanced modeling of novel semiconductor devices and nano electronic …

2025 TSMC Open Innovation Platform Ecosystem Forum – Santa Clara

Santa Clara Convention Center Santa Clara Convention Center, 5001 Great America Pkwy, Santa Clara, CA, United States

Join us for the 2025 TSMC OIP ECOSYSTEM FORUM Get ready for an electrifying dive into the future of semiconductor design at the 2025 TSMC Global Open Innovation Platform® (OIP) Ecosystem Forum! This isn't just an event; it's a dynamic hub where the brightest minds converge to ignite the next wave of innovation. As the AI …

Webinar: Getting Started with the Vitis Unified IDE

Online

Description BLT, an AMD Premier Design Services Partner and Authorized Training Provider, presents this webinar. When it comes to embedded software development, managing multiple tools, maintaining version control, and navigating complex workflows can feel overwhelming. The AMD Vitis™ Unified IDE simplifies the process by integrating Vitis IDE, Vitis Analyzer, and Vitis HLS into a single, …

Cloud Tech Day 2025

Hyatt Regency Santa Clara 5101 Great America Pkwy, Santa Clara, CA, United States

Join industry leaders and Cadence cloud experts at this free must-attend Cloud event of the year to explore the latest cloud EDA innovations, share ideas, and grow your professional connections. All attendees will receive a giveaway and a chance to win raffle prizes. DATE: September 25, 2025 TIME: 10:00am - 1:45pm PDT LOCATION:  Hyatt Regency Santa Clara, 5101 Great America …

ECOC 2025

Bella Center Bella Center, Center Boulevard 5, Copenhagen, 2300, Denmark

The 51st European Conference on Optical Communication Welcome to ECOC 2025 in Copenhagen ECOC, the European Conference on Optical Communication, stands as Europe’s premier gathering for optical communications, holding a prominent position as one of the globe’s most significant events in this field. This conference unites pioneers and professionals from academia, research, and industry, fostering the …

Semitracks Course: Failure and Yield Analysis

Phoenix, AZ Phoenix, AZ, United States

Failure and Yield Analysis is an increasingly difficult and complex process. Today, engineers are required to locate defects on complex integrated circuits. In many ways, this is akin to locating a needle in a haystack, where the needles get smaller and the haystack gets bigger every year. Engineers are required to understand a variety of …

CadenceTECHTALK: Shift-Left Thermal Analysis with AI-Enabled Celsius Studio Platform

Online

Overview Join us for a series of expert-led webinars showcasing cutting-edge simulation and analysis tools—from RF/mmWave modeling to advanced thermal and electromagnetic solutions. While designed for a broad range of high-performance electronics applications, each session also highlights how these technologies can be adapted to meet the rigorous demands of aerospace and defense (A&D) systems. Topics …

Silicon Photonics Workshop

1 Hotel Copenhagen 1 Hotel Copenhagen, Krystalgade 22 1172, Copenhagen, Denmark

Lighting the Future: Advancing Silicon Photonics for the AI Connectivity Era Soitec is pleased to host a private workshop event in parallel of ECOC 2025, offering a forward-looking perspective on the expanding role of photonics in enabling next-generation AI architectures. The workshop will bring together leading voices from across the value chain such as : …

2025 WLI WISH Conference

San Jose McEnery Convention Center San José McEnery Convention Center, 150 W San Carlos St, San Jose, CA, United States

Join us at WISH, GSA WLI’s premier technical conference that promises to be an inspiring celebration of innovation and empowerment. With around 1,000 attendees, including industry luminaries, visionary entrepreneurs, and brilliant university students in STEM, this event will showcase the evolving landscape of technology. Attendees can look forward to inspiring keynotes from trailblazers who are …

it-sa Expo & Congress

Nuremberg, Germany Nuremburg, Germany

it-sa Expo&Congress in Nuremberg is Europe's largest trade fair for IT security... ...and one of the most important dialogue platforms for IT security solutions. The trade fair covers the entire range of products and services in the field of cybersecurity: hardware, software, training and consulting services as well as Security as a Service. Important topics …

SEMICON West 2025

Phoenix Convention Center 100 N 3rd St, Phoenix, AZ, United States

SEMICON West 2025 makes its debut in Phoenix, October 7–9—positioning the city as a key hub for innovation and industry growth. This milestone event gathers global leaders across the microelectronics supply chain to explore transformative technologies, develop the future workforce, and drive strategic collaboration. Now more than ever, we are STRONGER TOGETHER. Programs + Events -CEO …

AutoSens Europe 2025

Palau de Congressos Palau de Congressos, Av. de la Reina Maria Cristina, s/n, Sants-Montjuïc, Barcelona, Spain

We're back in the vibrant city of Barcelona, bringing together experts from across the industry From 7th-9th October 2025, we’re reuniting the AutoSens community at the Palau de Congressos in Barcelona to shape the future of ADAS and AV. With over 60 expert speakers, engaging panels, technical case studies, and exploration of 12 key themes, …

CadenceCONNECT: CFD Innovations for the Marine Industry

Cadence Design Systems Belgium Cadence Design Systems Belgium, Chau. de la Hulpe 189, Bruxelles, Belgium

What does the future hold for marine CFD simulation? Join us at the CadenceCONNECT: CFD Innovations for Marine Applications seminar to find out! Historic software uses methods for solving that simply do not have the scalability to meet the needs of marine evolution. Cadence CFD analysis gives you an automatic and efficient computation setup. Additionally, …

2025 OCP Global Summit

San Jose Convention Center 150 W San Carlos St, San Jose, CA, United States

The OCP Summit is the premier event uniting the most forward-thinking minds in open IT Ecosystem development. The Summit presents a unique platform for our Community from around the globe to share their insights, foster partnerships and showcase cutting-edge advancements in open hardware and software. *Join us in San Jose October 13-16 for the 2025 OCP …

IEEE International Conference on PHYSICAL ASSURANCE and INSPECTION of ELECTRONICS (PAINE)

Denver, CO Denver, CO, United States

ABOUT PAINE Physical inspection of electronics has grown significantly over the past decade and is becoming a major focus for the chip designers, original equipment manufacturers, and system developers. The complex long life of the electronic devices coupled with their diverse applications is making them increasingly vulnerable to various forms of threats and inspection. Large …

MICRO 2025 – 58th IEEE/ACM International Symposium on Microarchitecture

Seoul, Korea Seoul, Korea, Republic of

The IEEE/ACM International Symposium on Microarchitecture® is the premier forum for presenting, discussing, and debating innovative microarchitecture ideas and techniques for advanced computing and communication systems. This symposium brings together researchers in fields related to microarchitecture, compilers, chips, and systems for technical exchange on traditional microarchitecture topics and emerging research areas. The MICRO community has enjoyed a …

RISC-V Summit North America 2025

Santa Clara Convention Center Santa Clara Convention Center, 5001 Great America Pkwy, Santa Clara, CA, United States

RISC-V is defining the future of open computing by providing unprecedented freedom to innovate. More than 13 billion RISC-V cores have shipped, powering new innovations in AI/ML, wireless, automotive, data center, space, IoT, embedded and more. Each day, thousands of engineers around the world collaborate and contribute to advance RISC-V. The RISC-V community shares the …

Achieving Timing Closure in FPGA Designs Workshop

Online

Achieving Timing Closure in FPGA Designs Workshop Do you find it challenging to close timing in your FPGA design? This workshop will guide you through leveraging AMD Vivado’s tools, optimizing your design, and applying best practices for static timing analysis to achieve reliable timing closure. Gain hands-on experience with timing closure techniques and learn strategies to improve …

2025 TSMC Open Innovation Platform Ecosystem Forum – Tokyo

Grand Hyatt Tokyo 6 Chome-10-3 Roppongi, Minato City, Tokyo, Japan

Join us for the 2025 TSMC OIP ECOSYSTEM FORUM Get ready for an electrifying dive into the future of semiconductor design at the 2025 TSMC Global Open Innovation Platform® (OIP) Ecosystem Forum! This isn't just an event; it's a dynamic hub where the brightest minds converge to ignite the next wave of innovation. As the AI …

ICCAD 2025

Munich, Germany Munich, Germany

The 2025 International Conference on Computer-Aided Design Call For Papers Jointly sponsored by IEEE and ACM, IEEE ICCAD is the premier forum to explore new challenges, present leading-edge innovative solutions, and identify emerging technologies in the electronic design automation research areas. IEEE ICCAD covers the full range of CAD topics – from device and circuit …

CadenceCONNECT: Jasper User Group 2025

San Jose Convention Center 150 W San Carlos St, San Jose, CA, United States

CadenceCONNECT: Jasper User Group 2025 is October 29 and 30 in San Jose, CA. This interactive, in-depth technical conference connects designers, verification engineers, and engineering managers from around the world to share the latest design and verification practices based on Cadence’s Jasper formal verification technologies and methodologies. The Jasper User Group Conference is the premier …

Webinar: Exploring AMD Kria SOM for ROS 2 Multi-Node Communications with TSN Acceleration

Online

Description BLT, an AMD Premier Design Services Partner and Authorized Training Provider, presents this webinar. Unlock the potential of the AMD Kria SOM and discover Time-Sensitive Networking (TSN) benefits for your applications. In this session, you’ll explore the TSN-ROS application and its role in enhancing communications within a TSN framework. Join our hands-on demonstration to …

Semitracks Course: Wafer Fab Processing

Phoenix, AZ Phoenix, AZ, United States

Semiconductor and integrated circuit developments continue to proceed at an incredible pace. The industry as a whole has gotten to this point of incredible complexity through the process of countless breakthroughs and developments in wafer fab processing. Today's wafer fab contains some of the most complex and intricate procedures ever developed by mankind. Wafer Fab Processing is …

Electronic Packaging Days 2025

Fraunhofer Institute for Reliability and Microintegration IZM Gustav-Meyer-Allee 25, Building 17/3, Berlin, Germany

On 6 and 7 November, Fraunhofer IZM is inviting customers and partners from industry to Berlin for its “Electronic Packaging Days”. The event is designed to facilitate direct exchange between IZM researchers and companies. The aim is to present current research work and technological developments in the field of microelectronic packaging and heterogeneous system integration …

SEMIEXPO Vietnam 2025

Hanoi, Vietnam Hanoi, Viet Nam

As the global semiconductor landscape continues to evolve, Vietnam is positioning itself for a promising future in the semiconductor industry. SEMIEXPO Vietnam will be a pivotal event, exploring opportunities for businesses across the semiconductor value chain, from assembly and testing, to fabless/design. This premier event will highlight how local players can elevate Vietnam’s position in …

SemIsrael Expo 2025

Avenue Convention Center Airport City, Israel

Connecting the Dots: Empowering the Semiconductor Community SemIsrael Expo 2025 is the premier professional semiconductor event in Israel. The event brings together hundreds of Israeli semiconductor professionals from all fields and aspects of the semiconductor industry. The Expo will host some 750 semiconductor professionals from all the Israeli semiconductor community; local fabless & startups, local …

SC25

America’s Center America’s Center, 701 Convention Plaza, St. Louis, MO, United States

The International Conference for High Performance Computing, Networking, Storage, and Analysis HPC Ignites. St. Louis is the place to be this fall as the high performance computing community convenes for an exhilarating week of sessions, speakers, and networking at its finest. SC is an unparalleled mix of thousands of scientists, engineers, researchers, educators, programmers, and …

Hardwear.io Security Trainings and Conference Netherlands 2025

Amsterdam Marriott Hotel Stadhouderskade 12, Amsterdam, Netherlands

The Netherlands has been the home for Hardwear.io since 2015. We are very excited to host the industry from automotive, healthcare, semiconductor, IoT, industrial control systems and Govt/Defences Institutes to join us for Hardwear.io NL scheduled on 17th Nov to 21st Nov 2025 at Amsterdam Marriott Hotel Learn, share, build, collaborate with 100+ companies attending …

2025 TSMC Open Innovation Platform Ecosystem Forum – Taiwan

Sheraton Hsinchu Hotel Sheraton Hsinchu Hotel, No. 265號, E Section 1, Guangming 6th Rd, Zhubei City, Hsinchu County, Taiwan

Join us for the 2025 TSMC OIP ECOSYSTEM FORUM Get ready for an electrifying dive into the future of semiconductor design at the 2025 TSMC Global Open Innovation Platform® (OIP) Ecosystem Forum! This isn’t just an event; it’s a dynamic hub where the brightest minds converge to ignite the next wave of innovation. As the AI …

MASTER CLASS: Component-based transfer path analysis and virtual prototyping

Leuven, Belgium Leuven, Belgium

The Component-based TPA and Virtual Prototyping Master Class is a 3-day live training event that brings together the NVH community at the NVH facility in Leuven, Belgium. This immersive program features theoretical lectures and insights from industry leaders, supported by live demonstrations, interactive sessions, and more than 9 hours of hands-on workshops in small groups. The master …

SEMICON Europa 2025

Messe Munchen Munich, Germany

SEMICON Europa 2025 is co-located with productronica and will take place in November 18-21, 2025 in Munich, Germany. This year’s theme Global Collaborations for European Economic Resilience expresses that global collaborations are not just beneficial for Europe's resilience, they are essential. In today's interconnected world, Europe cannot afford to operate in isolation. By working closely with other nations, …

Sensing (R)evolution: Sustaining Europe’s Leadership

ICM – International Congress Center Messe München Messe München GmbH, Messegelände, München, Germany

Discover how the latest MEMS and imaging technologies are enabling next-level value creation across industries. Explore cutting-edge AI-enhanced sensing, data fusion, and their transformative impact on automotive, healthcare, and smart systems. Learn how these technologies are driving Europe's leadership in the sensor revolution while shaping a more intelligent, interconnected future. Join us in Sensing (R)evolution: …

From Theory to Practice: Applying Timing Constraints Workshop

Online

From Theory to Practice: Applying Timing Constraints Workshop Do you struggle to identify which constraints are needed for a design or how to properly input them? This workshop will cover how to use features in Vivado, clock domain crossing strategies, and how to get the most out of static timing analysis for Versal devices. This …

2025 SIA Awards Dinner

Signia by Hilton San Jose 170 S Market St, San Jose, CA, United States

Date: Nov. 20, 2025 Time: SIA Reception at 5:00 pm Dinner, Awards Presentations, & Keynote Remarks at 6:30 pm After-Dinner Reception at 8:30 pm Location: Signia by Hilton San Jose 170 S Market St San Jose, Calif.95113 PRIORITY SPONSORSHIP PERIOD NOW OPEN! The 2025 SIA Awards Dinner Priority Sponsorship Period is now open! Only Gold …

2025 TSMC Open Innovation Platform Ecosystem Forum – Amsterdam

Hilton Amsterdam Airport Schiphol Hilton Amsterdam Airport Schiphol, Schiphol Boulevard 701, 1118 BN Schiphol Airport, Amsterdam, Netherlands

Join us for the 2025 TSMC OIP ECOSYSTEM FORUM Get ready for an electrifying dive into the future of semiconductor design at the 2025 TSMC Global Open Innovation Platform® (OIP) Ecosystem Forum! This isn’t just an event; it’s a dynamic hub where the brightest minds converge to ignite the next wave of innovation. As the AI …

Webinar: Mastering Clock Domain Crossings (CDC) and Synchronization Techniques

Online

Description Clock domain crossings (CDCs) are a critical aspect of FPGA and embedded system design, and handling them correctly is essential for reliable operation. In this one-hour webinar, we’ll break down CDC fundamentals, explore best practices for managing single-bit and bus CDCs, and demonstrate how to leverage Xilinx Parameterized Macros (XPM) for seamless synchronization. Join …

CadenceTECHTALK: iPegasus Verification System for Virtuoso Studio

Online

Speaker: Hong-Cheang Quek, AE Director 10:00am~11:00am iPegasus Verification System for Virtuoso Studio 11:00am~11:15am Q&A Description: Today's complex SoC designs significantly increase layout creation and verification time, especially at advanced nodes. To meet overall demand for faster design cycle turnaround time, bridge a demand gap, and improve productivity between custom implementation and physical verification tools, Cadence …

2025 TSMC Open Innovation Platform Ecosystem Forum – China

Shangri-La Nanjing Shangri-La Nanjing, 329 Zhongyang Rd, Gulou, Nanjing, Jiangsu, China

Join us for the 2025 TSMC OIP ECOSYSTEM FORUM Get ready for an electrifying dive into the future of semiconductor design at the 2025 TSMC Global Open Innovation Platform® (OIP) Ecosystem Forum! This isn’t just an event; it’s a dynamic hub where the brightest minds converge to ignite the next wave of innovation. As the AI …

IEDM 2025 – 71st Annual IEEE International Electron Devices Meeting

San Francisco, CA

100 YEARS of FETs: SHAPING the FUTURE of DEVICE INNOVATIONS Inside IEEE IEDM 2025 Focus Sessions Focus Session #1 - Efficient AI Solutions: Architecture, Circuit, and 3D Integration Innovations for Memory and Logic Focus Session #2 - Beyond Silicon: The Invisible Revolution in Thin-Film Transistors Focus Session #3 - From P-bits to Qubits: Classical, Quantum-Inspired …

CadenceTECHTALK: Quantus Insight: Intelligent Parasitic Debugging, Optimization, and Signoff Closure

Online

Speaker: Kee Tat Ong, Principal Application Engineer 10:00am~11:00am Quantus Insight: Intelligent Parasitic Debugging, Optimization, and Signoff Closure 11:00am~11:15am Q&A Description: With more designs migrating to advanced process nodes, chips are getting smaller, but design complexity is increasing in order to deliver better power, performance, or area. These optimizations are restricted by the time window to …

Essential Debugging Techniques Workshop

Online

Essential Debugging Techniques Workshop This workshop is for hardware engineers, system architects, and anyone who wants to learn best practices for debugging challenging issues encountered while developing FPGAs, SoCs, PCBs, and embedded systems using the Vivado Design Suite. The features and capabilities of the Vivado Integrated Logic Analyzer are covered in lectures and demonstrations, along with …