3D & Systems Summit 2025

Hilton Dresden Hotel Hilton Dresden Hotel, An der Frauenkirche 5 D, Dresden, Germany

Themed Heterogeneous Integration: Bolstering Europe's Resilience the 3D & Systems Summit 2025 will primarily focus on exploring strategies for enhancing Europe's semiconductor industry addressing topics such as geopolitical dynamics, market trends, as well as the latest advancements in chiplet applications and hybrid bonding techniques. The Summit will feature an exclusive exhibition area, showcasing industry leaders alongside innovative …

Synopsys Virtual Prototyping Day 2025

Online

Join us for our 5th Annual Virtual Prototyping Day to learn how you can Shift-Left your development cycle with virtual prototypes. This popular series invites our customers and partners to speak about how they solve the challenges of highly complex SoC and multi-die designs using virtual prototypes. Presenters this year include NVIDIA, Meta, Microsoft, Raytheon, and …

TSMC 2025 China Technology Symposium 2025

Shanghai International Convention Center (SHICC) No.2727, Riverside Avenue, Pudong, Shanghai, China

Get the latest on: TSMC's industry-leading HPC, Smartphone, IoT, and Automotive platform solutions to advance the AI future TSMC’s advanced logic technology progress on 5nm, 4nm, 3nm, 2nm, A16 processes and beyond TSMC 3DFabric® advanced silicon stacking and packaging technology advancement on TSMC-SoIC®, InFO, CoWoS®, and TSMC-SoW™ TSMC’s specialty technology breakthroughs on ultra-low power, RF, embedded …

ESD Alliance Master Class: Introduction to Chip Design and Electronic Design Automation

Online

Overview of the design of today's complex chips with Electronic Design Automation tools. June 25, 2025 | 10:00am-11:30am PT Complex semiconductor chips power today’s cell phones, cars, computers, and more. This on-line Master Class will provide non-technical people who work in and around the chip design industry a high-level overview and understanding of how these …

Webinar: Verifying Chiplet-based Systems

Online

Verifying Chiplet-based Systems (online) As the semiconductor industry increasingly embraces chiplet-based architectures, the complexity of system integration and verification has grown exponentially. Verifying these modular systems demands new approaches, tools, and collaboration across design and verification teams. This online edition of DVClub will focus on the challenges, strategies, and breakthroughs in verifying chiplet-based systems. Industry …

Webinar: Security for AI SoCs: Practical Solutions for the Challenges of Today and Tomorrow

Online

Featured Speakers: Mike Borza, Scientist and Principal Security Technologist, Synopsys Dana Neustadter, Senior Director of Product Management, Synopsys As AI systems become increasingly vital across industries, ensuring their security and integrity is more critical – and challenging – than ever. Join Synopsys in this insightful webcast to explore: Why AI security is essential The unique …

Webinar: Integrating HLS Modules into Block Designs

Online

Description BLT, an AMD Premier Design Services Partner and Authorized Training Provider, presents this webinar. Are you struggling to bridge the gap between high-level algorithm design and efficient FPGA implementation? Integrating High-Level Synthesis (HLS) into your Vivado block designs can be a game changer, but many designers face challenges in streamlining their workflows and ensuring …

Auto.AI USA

Hyatt Regency San Francisco Downtown SOMA Hyatt Regency San Francisco Downtown SOMA, 50 3rd St, San Francisco, CA, United States

Tech Summit on AI, Machine Learning, Neural Networks & Cognitive Computing for Highly Autonomous Vehicles Auto.AI USA – America’s No. 1 Event on Deep Driving for Level 3+ Automated Driving. Auto.AI USA is the leading conference on artificial intelligence for SAE Level 3 to 5 autonomous vehicles. Meet top automotive industry experts and decision-makers in …

Verification Futures Conference 2025

Reading (UK) and online Reading, United Kingdom

Why Attend? Attendees will gain access to cutting-edge technical content and expert presentations in: AI-Enhanced Semiconductor and IP Design Next-Generation IP Architectures and Verification Strategies Innovative Design Methodologies and Flows Emerging and Breakthrough Technologies Design for AI/ML Acceleration and Edge Computing REGISTER HERE

GSA TECH Summit 2025

Samsung Semiconductor Samsung Semiconductor, 3655 N 1st St, San Jose, CA, United States

The GSA TECH Summit brings together industry leaders and experts to explore the emerging technologies that are shaping the future of the semiconductor industry. Speakers will share their insights and thought leadership on the latest growth drivers, leading-edge technologies, and evolving market trends, while also addressing the challenges surrounding the industry. The TECH Summit serves …

SNUG India 2025

Sheraton Grand Bengaluru Whitefield Sheraton Grand Bengaluru Whitefield, PRESTIGE SHANTINIKETAN, Hoodi, Thigalarapalya, Whitefield, Bengaluru, Karnataka, India

Connecting the Synopsys User Community SNUG conferences have connected Synopsys global users for more than three decades. SNUG 2025 will once again provide a place where users and technical experts can meet, network, and share ideas about chip and system design. SNUG India 2025  will be held on July 10, 2025 at Bengaluru. REGISTER HERE

Webinar: Securing Post-Quantum Implementations Against Physical Attacks

Online

Description We’ll dive into one of the most pressing questions in the community: Are physical attacks practical against post-quantum schemes in the real world? If yes, how to harden the code or the hardware? We’ll explore: How can cryptographic algorithms and their implementations be targeted by physical attacks? Leveraging a novel attack surface and increased …

Webinar: Data Center RAS in the Age of AI Computing

Online

Thursday, July 10, 2025 10:00am PDT | 1:00pm EDT | 19:00 CEST As AI revolutionizes industries, the demand for high-performance, low power computing intensifies, placing unprecedented requirements on data centers. This panel will explore the transformative impact of AI processing on data center reliability, availability, and serviceability (RAS), examining factors of system predictability, scalability, data integrity and power efficiency …

IEF 2025

Zagreb, Croatia Zagreb, Croatia (Local Name: Hrvatska)

For speaker and sponsorship opportunities please contact Malcolm About the Forum Obtain the latest industry outlook from proven industry gurus Listen to visionary presentations from leading industry semiconductor organisations Debate future industry challenges and markets Engage with other forum delegates, and develop new business relationships Enjoy Future Horizons' unmatched forum structure and hospitality International Electronics Forum brochure here Why Attend? It’s vertical … …

Korean Test Conference

Suwon Convention Center Suwon Convention Center, 140 Gwanggyojungang-ro, Yeongtong-gu, Suwon-si, Gyeonggi-do, Korea, Republic of

The 26th Korea Test Conference will be held at Suwon Convention Center on Monday, July 14, 2025. The Korea Semiconductor Testing Society holds a test conference every year to share research results and technology with experts in related fields so that it can contribute to the revitalization and strengthening of the domestic semiconductor testing industry. …

Webinar: What it really takes to build a future-proof AI architecture?

Online

Abstract As AI workloads increasingly dominate numerous cloud and edge applications, building a scalable, efficient, and future-ready AI chips is no longer optional—it's essential. This webinar explores the latest AI trends, highlighting the growing demand for intelligent processing closer to the data source and the critical role of NPUs in enabling this shift. We’ll dive …

Ansys Simulation World 2025

Online

POWERING INNOVATION THAT DRIVES HUMAN ADVANCEMENT Having the right solution at the right time can mean the difference between tabling thoughts or transforming industries. Ansys technologies put the power of innovation in your hands. Join us for a free global simulation event that is designed to inspire, equip and empower you to innovate. REGISTER HERE

Semitracks Course: Silicon Photonics Technology and Applications

San Jose, CA

The proliferation of cloud computing and artificial intelligence is driving significant performance improvements in data center processing. Unfortunately, the connections between these servers, and even the connections between the boards in these servers, is limiting performance and driving up power dissipation. Silicon photonics promises a solution to these problems. Compared to standard integrated circuit technology, …

Webinar: Unpacking System Performance: Supercharge Your Systems with Lossless Compression IPs

Online

*WORK EMAIL IS REQUIRED* As data volumes surge across cloud, AI, automotive, and edge systems, efficient lossless compression has become essential for meeting performance, latency, and bandwidth constraints. This webinar explores the trade-offs and strengths of the industry’s leading compression algorithms—GZIP, LZ4, Snappy, and Zstd—highlighting how hardware-accelerated implementations can overcome the limitations of software-based solutions …

9th IEEE International Test Conference India 2025

Radisson Blu Bengaluru Radisson Blu Bengaluru, Bangalore, India

ABOUT US International Test Conference is the world's premier venue dedicated to the electronic test of devices, boards and systems-covering the complete cycle from design verification, design-for-test, design-for-manufacturing, silicon debug, manufacturing test, system test, diagnosis, reliability and failure analysis, and back to process and design improvement. At ITC India, design, test, and yield professionals can …

Plasma Etching, ALE, and RIE (SEMI University)

Online

This course discusses the fundamentals of plasma assisted phenomena and reactive ion etching (RIE) processes. The emphasis is on the physical and chemical processes that determine the consequences of a reactive gas plasma/surface interaction. The role of energetic ions as encountered in RIE systems is discussed in detail and the factors which influence anisotropy of …

Vivado Quick Start with Versal Devices Workshop

Online

This online workshop introduces key concepts, tools, and techniques required for design and development using the AMD Vivado™ Design Suite for FPGAs, SoCs, and adaptive SoCs. The emphasis of this course is on: Introduction to designing FPGAs with the Vivado Design Suite Creating a Vivado project with source files Introduction to the Tcl environment in Vivado and …

Simultaneous Multi-Threading: Needed for Accelerated Heterogenous Compute and Low-Latency Data Processing

Join processor IP experts from AKEANA as they explore why Simultaneous Multi-Threading (SMT) is becoming essential for next-generation SoC designs across AI, automotive, datacentre, and edge applications. This session unpacks how SMT can unlock higher compute density, better throughput, and smarter resource utilisation; without the overhead of new architectures or costly licensing. Backed by a …

WEBINAR: Learn about Simulating Gate-All-Around Devices with Victory Atomistic

Learn about Simulating Gate-All-Around Devices with Victory Atomistic As semiconductor devices push beyond FinFETs toward Gate-All-Around (GAA) architectures, accurate modeling at the nanoscale becomes essential. Join us for an in-depth webinar showcasing how Victory Atomistic™, Silvaco’s advanced atomistic simulator, empowers researchers and engineers to explore and optimize GAA transistor performance with quantum-level precision. In this session, …

HLS Hackathon 2025

Online

Wednesday, July 2, 2025 - Friday, October 31, 2025 Accelerating Inferencing Using HLS Hackathon Energy efficiency is essential for edge devices, especially those powered by batteries or harvested energy, making low-power AI and machine learning inference a real challenge. In this hackathon focused on accelerating inferencing using HLS, your mission is to build a high-efficiency …

SPEXA – Space Business Expo

Tokyo Big Sight 3 Chome-11-1 Ariake, Koto City, Tokyo, Japan

Welcome to SPEXA​ SPEXA or the Space business expo is Japan’s exhibition specialized in space business. It is poised to become the global business center hub that brings together companies and professionals in the space industry and various sectors. This space exhibition will connect users with companies and organizations specializing in space solutions such as …

Webinar: Basic Booting for AMD Zynq and Versal Devices with Practical Tips and Techniques

Online

Description BLT, an AMD Premier Design Services Partner and Authorized Training Provider, presents this webinar. Are FPGA booting challenges causing frustrating delays and leaving you uncertain about project timelines? Have you spent countless hours wrestling with boot image creation, only to encounter hardware dependencies or secure boot issues that stall progress? Eliminate the guesswork and …

SPIE Optics + Photonics 2025

San Diego Convention Center San Diego, CA, United States

Join your colleagues in beautiful San Diego. Make plans to attend and learn about latest insights, and breakthroughs. New this year - a symposium on Astronomical Applications. We are excited to announce the addition of a fourth symposium sharing advances in space and ground telescopes, UV/x-ray/gamma-ray astronomy, exoplanet detection, and more. Join leading researchers and …

Space, Missile, Defense (SMD) Symposium 2025

Von Braun Center Von Braun Center, 700 Monroe St SW, Huntsville, AL, United States

Space and Missile Defense - Defending America at Home and Abroad The SMD Symposium is the leading educational, professional development and networking event in the space and missile defense community. The symposium is widely attended by leaders and professionals from the United States and our allies around the world. REGISTER HERE

FMS25 – Taking on the FUTURE of Memory and Storage

Santa Clara Convention Center Santa Clara Convention Center, 5001 Great America Pkwy, Santa Clara, CA, United States

FMS: the Future of Memory and Storage has expanded the scope of Flash Memory Summit to encompass all tiers of Memory and Storage. As a leading global independent conference and exhibition, FMS is now in its 19th year, offering enhanced support to the industry. Serving as a centralized hub for professional growth, industry connections, and customer …

WEBINAR: 448G: Ready or Not, Here it Comes!

Date: Aug 05, 2025 | 10:00 AM PDT Featured Speakers: Priyank Shukla, Director of Product Management, Synopsys Kent Lusted, Distinguished Architect, Synopsys   Why You Should Attend: Understand Industry Readiness: Explore the challenges and opportunities in the race to 448G SerDes and assess if the industry is prepared for this leap in high-speed serial interfaces. Learn About Emerging Standards: Gain insights into the …

Webinar: 448G: Ready or Not, Here it Comes!

Online

Featured Speakers: Priyank Shukla, Director of Product Management, Synopsys Kent Lusted, Distinguished Architect, Synopsys Why You Should Attend: Understand Industry Readiness: Explore the challenges and opportunities in the race to 448G SerDes and assess if the industry is prepared for this leap in high-speed serial interfaces. Learn About Emerging Standards: Gain insights into the latest advancements in standards shaping …

Small Satellite Conference 2025

Salt Palace Convention Center Salt Palace Convention Center, 90 S W Temple St, Salt Lake City, UT, United States

During the 39th Annual Small Satellite Conference, we will delve into the innovations, demands, and cross-market collaborations shaping the future of satellite capabilities and driving new opportunities allowing us to collectively reach new horizons. REGISTER HERE

WEBINAR: GPU-Accelerated Atomistic Simulations for Semiconductor Manufacturing

Online

Featured Speakers: Anders Blom, Principal Solutions Engineer, Synopsys Gabriele Penazzi, Senior Staff Engineer, Synopsys Vaida Arcisauskaite, Staff Engineer, Synopsys Join us to explore the transformative impact of atomistic simulations and GPU acceleration on materials engineering. Learn how these technologies are revolutionizing the exploration of new materials by reducing simulation turnaround times, increasing model sizes, and …

CadenceLIVE India 2025

Sheraton Grand Bengaluru Whitefield Sheraton Grand Bengaluru Whitefield, PRESTIGE SHANTINIKETAN, Hoodi, Thigalarapalya, Whitefield, Bengaluru, Karnataka, India

Where Inspiration Meets Innovation Join us on August 13 for CadenceLIVE India 2025 at the Sheraton Grand Bengaluru Whitefield Hotel & Convention Center, where Cadence technology users connect with the engineers and industry leaders who develop the solutions and the industry experts who influence market trends. Experience a day of learning, connection, and cutting-edge technology shaping …

34th USENIX Security Symposium

Seattle Convention Center 705 Pike Street, Seattle, WA, United States

The 34th USENIX Security Symposium will take place on August 13–15, 2025, at the Seattle Convention Center in Seattle, WA, USA. The USENIX Security Symposium brings together researchers, practitioners, system programmers, and others interested in the latest advances in the security and privacy of computer systems and networks. The full symposium program will be available soon; view …

WEBINAR: What to Consider When Architecting Your Next SoC: Architectural Tradeoffs, IP Selection, and Ecosystem Realities

Online

Architecting an SoC is a complicated step in building a successful chip. The first step is ensuring you have the critical requirements for your SoC captured so that the possible architectural options may be explored and the IP components that enable those architectures can be identified. Selecting the right IP can make or break your …

Chiplet and Heterogeneous Integration for Microelectronics Packaging – Virtual Training

Online

Chiplet and heterogeneous integration of packaging has been embraced as the next revolutionary innovation to meet the quest of size, cost, and performance for packaging. The technologies are seen as another disruptive technology to bring devices into a package by integrating the various Multi-chip module (MCM), 3D packaging, Through Silicon Via (TSV), and Fan-out wafer …

SNUG Korea 2025

Grand InterContinental Seoul Parnas Grand InterContinental Seoul Parnas, Teheran-ro, 521, Seoul, Gangnam District, Korea, Republic of

Connecting the Synopsys User Community Since 1991, the Synopsys Users Group (SNUG) has represented a global design community focused on innovating from Silicon to Systems. Today, as the electronics industry’s largest user conference, SNUG brings together over 12,000 Synopsys tool and technology users across North America, Europe, Asia, and Japan. In addition to peer-reviewed technical …

CadenceLIVE China 2025

Shanghai, China Shanghai, China

Are you driving design change? Do you think you have successfully overcome challenges that may affect the electronic revolution? CadenceLIVE is willing to provide a platform to share your story. Come here to show your expertise, share and provide professional skills to help engineers solve the complexity and challenges they face today. CadenceLIVE China 2025 …

ESD Alliance Webinar: SEMI’s Public Policy & Advocacy Office—A Valuable Resource for The Electronic Design Automation Industry

Online

Join us for a webinar that will explore the role of SEMI’s Public Policy and Advocacy (PP&A) team in driving policy developments that are relevant to the ESDA community. PP&A staff will present on SEMI’s advocacy efforts, how you can interface with our team in DC, and how we work with member companies to advance …

DVCon Japan 2025

TKP Garden City PREMIUM Shinagawa TKP Garden City PREMIUM Shinagawa, Minato City

The Design & Verification Conference & Exhibition is the premier conference on the application of languages, tools, methodologies and standards for the design and verification of electronic systems and integrated …

Hot Chips 2025

Stanford Memorial Auditorium (MemAud) Stanford Memorial Auditorium (MemAud), 551 Jane Stanford Way, Stanford, CA, United States

Hot Chips 2025 will be held Sunday, August 24 - Tuesday, August 26, 2025 at Memorial Auditorium, Stanford, Palo Alto, CA. About Since it started in 1989, HOT CHIPS has …

Understanding Semiconductor Technology and Business: Virtual Training, Americas (SEMI University)

Online

Embark on a journey through semiconductor design, manufacturing, and business in this illuminating course. Explore IC design techniques, transistor evolution, and market dynamics. Delve into substrate types and industry economics, discovering the fastest-growing markets and key players shaping the semiconductor landscape. Pricing Early Bird Special - $100 off until August 11th! Members: $845 $745 Non-Members: $945 $845 * For …

SNUG Vietnam 2025

Sheraton Saigon Grand Opera Hotel Sheraton Saigon Grand Opera Hotel, 88 Đ. Đồng Khởi, Bến Nghé, Quận 1, Hồ Chí Minh, Viet Nam

Connecting the Synopsys User Community Since 1991, the Synopsys Users Group (SNUG) has represented a global design community focused on innovating from Silicon to Systems. Today, as the electronics industry’s largest user conference, SNUG brings together over 12,000 Synopsys tool and technology users across North America, Europe, Asia, and Japan. In addition to peer-reviewed technical …

lOTE Shenzhen loT Expo 2025

Shenzhen World Exhibition and Convention Center Shenzhen World Exhibition and Convention Center, Shenzhen, Baoan, China

IOTE Expo is the world's largest and most influential IoT trade platform, hosting a comprehensive series of IoT activities and conferences that encompass the entire ecosystem. As the autumn edition of IOTE Expo, IOTE Expo Shenzhen 2025 will take place from August 27-29 at Shenzhen World Exhibition & Convention Center. This premier event connects global …

Webinar: Maximizing RFSoC Potential with Functionality and Configurability

Online

Description BLT, an AMD Premier Design Services Partner and Authorized Training Provider, presents this webinar. Join us to explore the functionality and configurability of the AMD Zynq UltraScale+ RFSoC. With the RFSoC, configuring data converters is crucial for advanced system development, but the complexity often overwhelms developers, hindering progress. In this session, you'll discover the …

SEMICON India

Yashobhoomi (IICC) Yashobhoomi (IICC), Sector 25, Dwarka, Delhi, India

Accelerating India’s Semiconductor Revolution SEMI IESA India is committed to accelerating the growth and innovation of India's semiconductor and electronics manufacturing ecosystem. As part of the global SEMI organization, we bring together industry leaders, innovators, academia, government and other stakeholders to drive collaboration and technology advancements across the entire supply chain. Join us at SEMICON …

I.S.E.S. EU 2025

Dresden, Germany Dresden, Germany

Empowering Europe's Semiconductor Future: Innovation, Integration & Independence Renowned for orchestrating major regional summits across the globe, ranging from the U.S, the Middle East & Asia via our division, the International Semiconductor Executive Summits (I.S.E.S.). Our summits, are fully endorsed by local governments and leading companies in all areas of the semiconductor supply chain. Moreover, …

Catalysts of Innovation: Building Enduring Semiconductor Companies

TSMC Technology Inc. TSMC Technology Inc., 2851 Junction Avenue #101, San Jose, CA, United States

An event for startup leaders, investors, and ecosystem partners focused on building the next generation of enduring semiconductor companies. REGISTER HERE

DVCon Taiwan 2025

Lakeshore Hotel, Hsinchu Lakeshore Hotel, No. 773號, Minghu Rd, Hsinchu, East District, Taiwan

About DVCON DVCon Taiwan is the premier conference for design and verification engineers, researchers, and managers in Taiwan's semiconductor and EDA industries. Now in its third year, DVCon Taiwan 2025 continues its mission to bring together the local and international community to exchange ideas, explore the latest methodologies, and discuss emerging trends in design and …

AI Infra Summit 2025

Santa Clara Convention Center Santa Clara Convention Center, 5001 Great America Pkwy, Santa Clara, CA, United States

The only full-stack AI & ML infrastructure event The AI Hardware & Edge AI Summit is rebranding to the AI Infra Summit to create the first comprehensive, full-stack AI Infrastructure conference, arranged in a modular way that equally enables both enterprises and technology/solution providers to benefit from domain-specific content, all while doing business on the exhibition floor. Topics covered: Hardware …

DSEI 2025

ExCeL London Royal Victoria Dock, 1 Western Gateway, London, United Kingdom

Providing a Pivotal Platform for the Global Defence Industry. DSEI is a pivotal event for the global defence industry. As the flagship defence event for the UK, DSEI promotes the UK’s defence ethos, agenda and leadership while encouraging opportunity and cooperation with our global allies. ​ The world’s leading defence organisations and most influential stakeholders …

SEMICON Taiwan 2025

TaiNEX TaiNEX, No.1, Jingmao 2nd Rd., Taipei City, Nangang District, Taiwan

Leading with Collaboration. Innovating with the World. SEMICON Taiwan 2025 will take place from September 10–12, 2025 at the Taipei Nangang Exhibition Center! This year’s exhibition will bring together over 1,100 leading semiconductor and technology companies, with more than 4,000 booths and an expected attendance of over 100,000 industry professionals, making it one of the …

Webinar: Functional ECO Solution for Mixed-Signal ASIC Design

Online

Functional ECO (Engineering Change Order) continues to pose a persistent challenge for ASIC designers. To address this, Easy-Logic Technology, in collaboration with SemiWiki, is launching a webinar series focused on tackling ECO challenges across various ASIC design segments—starting with Mixed-Signal ASICs. Why Mixed-Signal ASICs? Mixed-signal ASICs are found in everyday applications such as power management, …

electronica India

Bangalore International Exhibition Centre 10th Mile, Tumkur Road, Madavara Post, Dasanapura Hobli, Bengaluru, Karnataka, India

Welcome to electronica India Founded in 2000, electronica India is now together with productronica India South Asia's leading trade fair for the electronics industry. electronica India takes place in conjunction with productronica India, yearly alternating between the two Indian economics hubs Delhi and Bengaluru. REGISTER HERE

Accelerating Connectivity with the Versal Adaptive SOC Network on Chip Workshop

Online

Accelerating Connectivity with the Versal Adaptive SOC Network on Chip Workshop This workshop introduces the AMD Versal network on chip (NoC) to users familiar with other SoC architectures. Besides providing an overview of the major components in the Versal device, the course illustrates how the NoC is used to efficiently move data within the device. …

SPIE Photomask Technology + Extreme Ultraviolet Lithography 2025

Monterey, CA Monterey, CA, United States

Share your research and join the outstanding program for 2025 Make plans to join the premier worldwide technical meeting for photomasks, patterning, metrology, materials, inspection/repair, mask business, extreme UV lithography, and emerging technologies. We are still accepting post-deadline abstract submissions, which are subject to chair approval. SPIE partners with researchers, educators, and industry to advance …

European Microwave Week (EuMW 2025)

Jaarbeurs Convention Centre Jaarbeurs Convention Centre, Jaarbeursplein 6, Utrecht, Netherlands

The 28th edition of the European Microwave Week (EuMW 2025) will come to Utrecht to continue the annual series of highly successful microwave events that started back in 1998. EuMW 2025 comprises three co-located conferences: The European Microwave Conference (EuMC) The European Microwave Integrated Circuits Conference (EuMIC) The European Radar Conference (EuRAD) In addition, EuMW …

2025 U.S. Executive Forum

Menlo Park, CA Menlo Park, CA, United States

The US Executive Forum is GSA’s most prestigious, invitation-only event, designed exclusively for industry leaders. This unique gathering unites influential executives, visionary thought leaders, and top subject matter experts for an unparalleled exchange of ideas and collaborative dialogue. Dive deep into the dynamic landscape of the global semiconductor value chain, where industry leaders will share …

Microelectronics UK 2025

ExCeL London Royal Victoria Dock, 1 Western Gateway, London, United Kingdom

The Premier Event for the UK Microelectronics Industry Join thousands of your peers at the premier event uniting the entire value chains across the microelectronics, semiconductor, photonics and embedded systems industries, uniquely showcasing the UK’s world-class expertise in R&D, design innovation, and advanced manufacturing. Microelectronics UK is where innovation thrives, connections flourish, and trade accelerates. By …

The International Conference on Simulation of Semiconductor Processes and Devices (SISPAD) 2025

Maison MINATEC Congress Center Maison MINATEC Congress Center, 3 parvis Louis Néel, Grenoble, France

The International Conference on Simulation of Semiconductor Processes and Devices (SISPAD) provides an international forum for the presentation of leading-edge research and development results in the area of process and device simulation. SISPAD is one of the longest-running conferences devoted to technology computer-aided design (TCAD) and advanced modeling of novel semiconductor devices and nano electronic …

Webinar: Getting Started with the Vitis Unified IDE

Online

Description BLT, an AMD Premier Design Services Partner and Authorized Training Provider, presents this webinar. When it comes to embedded software development, managing multiple tools, maintaining version control, and navigating complex workflows can feel overwhelming. The AMD Vitis™ Unified IDE simplifies the process by integrating Vitis IDE, Vitis Analyzer, and Vitis HLS into a single, …

Semitracks Course: Failure and Yield Analysis

Phoenix, AZ Phoenix, AZ, United States

Failure and Yield Analysis is an increasingly difficult and complex process. Today, engineers are required to locate defects on complex integrated circuits. In many ways, this is akin to locating a needle in a haystack, where the needles get smaller and the haystack gets bigger every year. Engineers are required to understand a variety of …

Silicon Photonics Workshop

1 Hotel Copenhagen 1 Hotel Copenhagen, Krystalgade 22 1172, Copenhagen, Denmark

Lighting the Future: Advancing Silicon Photonics for the AI Connectivity Era Soitec is pleased to host a private workshop event in parallel of ECOC 2025, offering a forward-looking perspective on the expanding role of photonics in enabling next-generation AI architectures. The workshop will bring together leading voices from across the value chain such as : …

2025 WLI WISH Conference

San Jose McEnery Convention Center San José McEnery Convention Center, 150 W San Carlos St, San Jose, CA, United States

Join us at WISH, GSA WLI’s premier technical conference that promises to be an inspiring celebration of innovation and empowerment. With around 1,000 attendees, including industry luminaries, visionary entrepreneurs, and brilliant university students in STEM, this event will showcase the evolving landscape of technology. Attendees can look forward to inspiring keynotes from trailblazers who are …

it-sa Expo & Congress

Nuremberg, Germany Nuremburg, Germany

it-sa Expo&Congress in Nuremberg is Europe's largest trade fair for IT security... ...and one of the most important dialogue platforms for IT security solutions. The trade fair covers the entire range of products and services in the field of cybersecurity: hardware, software, training and consulting services as well as Security as a Service. Important topics …

SEMICON West 2025

Phoenix Convention Center 100 N 3rd St, Phoenix, AZ, United States

SEMICON West 2025 makes its debut in Phoenix, October 7–9—positioning the city as a key hub for innovation and industry growth. This milestone event gathers global leaders across the microelectronics supply chain to explore transformative technologies, develop the future workforce, and drive strategic collaboration. Now more than ever, we are STRONGER TOGETHER. Programs + Events -CEO …

AutoSens Europe 2025

Palau de Congressos Palau de Congressos, Av. de la Reina Maria Cristina, s/n, Sants-Montjuïc, Barcelona, Spain

We're back in the vibrant city of Barcelona, bringing together experts from across the industry From 7th-9th October 2025, we’re reuniting the AutoSens community at the Palau de Congressos in Barcelona to shape the future of ADAS and AV. With over 60 expert speakers, engaging panels, technical case studies, and exploration of 12 key themes, …

2025 OCP Global Summit

San Jose Convention Center 150 W San Carlos St, San Jose, CA, United States

The OCP Summit is the premier event uniting the most forward-thinking minds in open IT Ecosystem development. The Summit presents a unique platform for our Community from around the globe to share their insights, foster partnerships and showcase cutting-edge advancements in open hardware and software. *Join us in San Jose October 13-16 for the 2025 OCP …

IEEE International Conference on PHYSICAL ASSURANCE and INSPECTION of ELECTRONICS (PAINE)

Denver, CO Denver, CO, United States

ABOUT PAINE Physical inspection of electronics has grown significantly over the past decade and is becoming a major focus for the chip designers, original equipment manufacturers, and system developers. The complex long life of the electronic devices coupled with their diverse applications is making them increasingly vulnerable to various forms of threats and inspection. Large …

MICRO 2025 – 58th IEEE/ACM International Symposium on Microarchitecture

Seoul, Korea Seoul, Korea, Republic of

The IEEE/ACM International Symposium on Microarchitecture® is the premier forum for presenting, discussing, and debating innovative microarchitecture ideas and techniques for advanced computing and communication systems. This symposium brings together researchers in fields related to microarchitecture, compilers, chips, and systems for technical exchange on traditional microarchitecture topics and emerging research areas. The MICRO community has enjoyed a …

RISC-V Summit North America 2025

Santa Clara Convention Center Santa Clara Convention Center, 5001 Great America Pkwy, Santa Clara, CA, United States

RISC-V is defining the future of open computing by providing unprecedented freedom to innovate. More than 13 billion RISC-V cores have shipped, powering new innovations in AI/ML, wireless, automotive, data center, space, IoT, embedded and more. Each day, thousands of engineers around the world collaborate and contribute to advance RISC-V. The RISC-V community shares the …

Achieving Timing Closure in FPGA Designs Workshop

Online

Achieving Timing Closure in FPGA Designs Workshop Do you find it challenging to close timing in your FPGA design? This workshop will guide you through leveraging AMD Vivado’s tools, optimizing your design, and applying best practices for static timing analysis to achieve reliable timing closure. Gain hands-on experience with timing closure techniques and learn strategies to improve …

ICCAD 2025

Munich, Germany Munich, Germany

The 2025 International Conference on Computer-Aided Design Call For Papers Jointly sponsored by IEEE and ACM, IEEE ICCAD is the premier forum to explore new challenges, present leading-edge innovative solutions, and identify emerging technologies in the electronic design automation research areas. IEEE ICCAD covers the full range of CAD topics – from device and circuit …

Webinar: Exploring AMD Kria SOM for ROS 2 Multi-Node Communications with TSN Acceleration

Online

Description BLT, an AMD Premier Design Services Partner and Authorized Training Provider, presents this webinar. Unlock the potential of the AMD Kria SOM and discover Time-Sensitive Networking (TSN) benefits for your applications. In this session, you’ll explore the TSN-ROS application and its role in enhancing communications within a TSN framework. Join our hands-on demonstration to …

Semitracks Course: Wafer Fab Processing

Phoenix, AZ Phoenix, AZ, United States

Semiconductor and integrated circuit developments continue to proceed at an incredible pace. The industry as a whole has gotten to this point of incredible complexity through the process of countless breakthroughs and developments in wafer fab processing. Today's wafer fab contains some of the most complex and intricate procedures ever developed by mankind. Wafer Fab Processing is …

Electronic Packaging Days 2025

Fraunhofer Institute for Reliability and Microintegration IZM Gustav-Meyer-Allee 25, Building 17/3, Berlin, Germany

On 6 and 7 November, Fraunhofer IZM is inviting customers and partners from industry to Berlin for its “Electronic Packaging Days”. The event is designed to facilitate direct exchange between IZM researchers and companies. The aim is to present current research work and technological developments in the field of microelectronic packaging and heterogeneous system integration …

SEMIEXPO Vietnam 2025

Hanoi, Vietnam Hanoi, Viet Nam

As the global semiconductor landscape continues to evolve, Vietnam is positioning itself for a promising future in the semiconductor industry. SEMIEXPO Vietnam will be a pivotal event, exploring opportunities for …

SemIsrael Expo 2025

Avenue Convention Center Airport City, Israel

Connecting the Dots: Empowering the Semiconductor Community SemIsrael Expo 2025 is the premier professional semiconductor event in Israel. The event brings together hundreds of Israeli semiconductor professionals from all fields and aspects of the semiconductor industry. The Expo will host some 750 semiconductor professionals from all the Israeli semiconductor community; local fabless & startups, local …

SC25

America’s Center America’s Center, 701 Convention Plaza, St. Louis, MO, United States

The International Conference for High Performance Computing, Networking, Storage, and Analysis HPC Ignites. St. Louis is the place to be this fall as the high performance computing community convenes for an exhilarating week of sessions, speakers, and networking at its finest. SC is an unparalleled mix of thousands of scientists, engineers, researchers, educators, programmers, and …

Hardwear.io Security Trainings and Conference Netherlands 2025

Amsterdam Marriott Hotel Stadhouderskade 12, Amsterdam, Netherlands

The Netherlands has been the home for Hardwear.io since 2015. We are very excited to host the industry from automotive, healthcare, semiconductor, IoT, industrial control systems and Govt/Defences Institutes to join us for Hardwear.io NL scheduled on 17th Nov to 21st Nov 2025 at Amsterdam Marriott Hotel Learn, share, build, collaborate with 100+ companies attending …

SEMICON Europa 2025

Messe Munchen Munich, Germany

SEMICON Europa 2025 is co-located with productronica and will take place in November 18-21, 2025 in Munich, Germany. This year’s theme Global Collaborations for European Economic Resilience expresses that global collaborations are not just beneficial for Europe's resilience, they are essential. In today's interconnected world, Europe cannot afford to operate in isolation. By working closely with other nations, …

Sensing (R)evolution: Sustaining Europe’s Leadership

ICM – International Congress Center Messe München Messe München GmbH, Messegelände, München, Germany

Discover how the latest MEMS and imaging technologies are enabling next-level value creation across industries. Explore cutting-edge AI-enhanced sensing, data fusion, and their transformative impact on automotive, healthcare, and smart systems. Learn how these technologies are driving Europe's leadership in the sensor revolution while shaping a more intelligent, interconnected future. Join us in Sensing (R)evolution: …

From Theory to Practice: Applying Timing Constraints Workshop

Online

From Theory to Practice: Applying Timing Constraints Workshop Do you struggle to identify which constraints are needed for a design or how to properly input them? This workshop will cover how to use features in Vivado, clock domain crossing strategies, and how to get the most out of static timing analysis for Versal devices. This …

2025 SIA Awards Dinner

Signia by Hilton San Jose 170 S Market St, San Jose, CA, United States

Date: Nov. 20, 2025 Time: SIA Reception at 5:00 pm Dinner, Awards Presentations, & Keynote Remarks at 6:30 pm After-Dinner Reception at 8:30 pm Location: Signia by Hilton San Jose 170 S Market St San Jose, Calif.95113 PRIORITY SPONSORSHIP PERIOD NOW OPEN! The 2025 SIA Awards Dinner Priority Sponsorship Period is now open! Only Gold …

Webinar: Mastering Clock Domain Crossings (CDC) and Synchronization Techniques

Online

Description Clock domain crossings (CDCs) are a critical aspect of FPGA and embedded system design, and handling them correctly is essential for reliable operation. In this one-hour webinar, we’ll break down CDC fundamentals, explore best practices for managing single-bit and bus CDCs, and demonstrate how to leverage Xilinx Parameterized Macros (XPM) for seamless synchronization. Join …

IEDM 2025 – 71st Annual IEEE International Electron Devices Meeting

San Francisco, CA

100 YEARS of FETs: SHAPING the FUTURE of DEVICE INNOVATIONS Inside IEEE IEDM 2025 Focus Sessions Focus Session #1 - Efficient AI Solutions: Architecture, Circuit, and 3D Integration Innovations for Memory and Logic Focus Session #2 - Beyond Silicon: The Invisible Revolution in Thin-Film Transistors Focus Session #3 - From P-bits to Qubits: Classical, Quantum-Inspired …

Essential Debugging Techniques Workshop

Online

Essential Debugging Techniques Workshop This workshop is for hardware engineers, system architects, and anyone who wants to learn best practices for debugging challenging issues encountered while developing FPGAs, SoCs, PCBs, and embedded systems using the Vivado Design Suite. The features and capabilities of the Vivado Integrated Logic Analyzer are covered in lectures and demonstrations, along with …

Semitracks Course: Wafer Fab Processing

Munich, Germany Munich, Germany

Semiconductor and integrated circuit developments continue to proceed at an incredible pace. The industry as a whole has gotten to this point of incredible complexity through the process of countless breakthroughs and developments in wafer fab processing. Today's wafer fab contains some of the most complex and intricate procedures ever developed by mankind. Wafer Fab Processing is …

Semitracks Course: Failure and Yield Analysis

Munich, Germany Munich, Germany

Failure and Yield Analysis is an increasingly difficult and complex process. Today, engineers are required to locate defects on complex integrated circuits. In many ways, this is akin to locating a needle in a haystack, where the needles get smaller and the haystack gets bigger every year. Engineers are required to understand a variety of …

Semitracks Course: Semiconductor Reliability and Product Qualification

Munich, Germany Munich, Germany

Product reliability and qualification continues to evolve with the electronics industry. New electronics applications require new approaches to reliability and qualification. In the past, reliability meant discovering, characterizing and modeling failure mechanisms, and determining their impact on the reliability of the circuit. Today, reliability can involve tradeoffs between performance and reliability; assessing the impact of …