Array
(
    [content] => 
    [params] => Array
        (
            [0] => /forum/index.php?threads/the-impact-of-3d-mask-effects-on-euv-lithography.10493/
        )

    [addOns] => Array
        (
            [DL6/MLTP] => 13
            [Hampel/TimeZoneDebug] => 1000070
            [SV/ChangePostDate] => 2010200
            [SemiWiki/Newsletter] => 1000010
            [SemiWiki/WPMenu] => 1000010
            [SemiWiki/XPressExtend] => 1000010
            [ThemeHouse/XLink] => 1000970
            [ThemeHouse/XPress] => 1010570
            [XF] => 2021370
            [XFI] => 1050270
        )

    [wordpress] => /var/www/html
)

The Impact of 3D Mask Effects on EUV Lithography

Fred Chen

Moderator
In photolithography, it is common to imagine the photomask as a 2D screen with parts that block light and parts that transmit light. While this picture has its origins from long ago, it is no longer an accurate depiction in advanced lithography nodes, in particular for extreme ultraviolet lithography (EUVL) masks. Though it might appear to be a recent consideration, there actually is a long history of its investigation, some of which will be covered below in this brief article.

EUVL basic mask details

In Figure 1, we show the basic operating principle of the EUV mask.

View attachment 21793
Figure 1. Basic operating principle of the EUV mask. Reprinted with permission by SPIE, from M. Sugawara, Proc. SPIE vol. 6517, 65170X © 2007 SPIE.

EUV light (13.4-13.6 nm wavelength) is obliquely incident at an angle of ~6 degrees from the normal to the surface. The pattern consists of Ta-based absorber features on a molybdenum/silicon reflective multilayer, all on an ultralow thermal expansion glass substrate. This angle defines a plane of incidence, so that lines may be perpendicular to this plane of incidence (Figure 1, left) or parallel to this plane (Figure 1, right). Lines perpendicular to the plane of incidence experience a shadow effect, which leads to a different critical dimension (CD) bias from lines parallel to the plane of incidence.

While the absorber's role is to absorb light, there is also a phase shift effect [Erdmann, 2016]. This is due to the difference between the absorber's index of refraction and vacuum's index of refraction (n=1). It is this phase shift that accounts for the 3D mask effects to be described below.

View attachment 21794
Figure 2. Near field phase profile from EUV absorber (TE polarization). Reprinted with permission by SPIE, from A. Erdmann et al., J. Micro/Nanolith. MEMS MOEMS vol. 15(2), 021205 © 2016 SPIE.

Bossung Curves

The Bossung curve, named after the engineer who first described it [Bossung, 1977], is a plot of CD vs. focus, for different doses or other relevant lithographic parameters. This enables a quick observation of the lithography process window.

For example, a wide range of pitches (44 nm CD) is plotted in Figure 3 [Sugawara, 2007]. Considering both orientations, there was a 15 nm best focus range across the 88-297 nm pitch range. In a 2D consideration, the best focus should be invariant. Later we will revisit the Bossung curves for 40 nm CD, dense vs. isolated, in order to include resist considerations.

View attachment 21795
Figure 3. Bossung curves for 44 nm target CD for pitch range from 88 nm to 297 nm (sigma=0.9, NA=0.25, wavelength=13.5 nm). Reprinted with permission by SPIE, from M. Sugawara, Proc. SPIE vol. 6517, 65170X © 2007 SPIE.

The Bossung curves for two-dimensional shapes such as contacts reveal an asymmetry related to the shadowing effect arising from the EUV oblique illumination [Zhu, 2003]. For the 6º central incident angle case, there is a noticeable difference of best focus (~30 nm) between the 50-60 nm CDs considered along the two directions of the 150 nm pitch contact.

View attachment 21796
Figure 4. Bossung curve for 50 nm x 50 nm contact (150 nm pitch, s=0.7, NA=0.25, wavelength=13.4 nm). Left: CD in plane of incidence. Right: CD perpendicular to plane of incidence. Reprinted with permission by SPIE, from Z. Zhu et al., Proc. SPIE vol. 5256, 585-594 © 2003 SPIE.

The constraint on EUV illumination is becoming apparent for 7nm node. The 7nm node targets 36 nm dense line pitch (k1=0.44), which generally favors a Quasar illumination shape instead of a conventional disc shape. Assist feature OPC is also expected to be used at pitches 72 nm and larger for improved imaging [Burkhardt, 2015]. Figure 5 shows the 54 nm pitch (which cannot fit assist features) is expected to be around 30 nm off in best focus from the other pitches (for the experimental reference case sigma[SUB]ctr[/SUB]=0.63).

View attachment 21797
Figure 5. Left: Best focus for different pitches and different Quasar illuminator shapes (NA=0.33, different center sigma, max/min sigma=+/-0.5). Target CD was 20 nm except for 36 nm pitch, where it was 18 nm. Right: Quasar illuminator shape for these results. Reprinted with permission by SPIE, from M. Burkhardt and A. Raghunathan, Proc. SPIE vol. 9422, 94220X © 2015 SPIE.

All the above results have all been simulated using aerial (purely optical) images, without taking into account an actual resist response. When the resist image is concerned, other factors come into play, including the secondary electrons from the underlayer, resist development, resist absorbance, resist index of refraction, and resist thickness, all of which affect the resist profile. It is the bottom of the resist profile that defines the CD. Bossung curves for different resist thicknesses have been routinely considered since 0.5 um node, see Figure 6 for example.

View attachment 21798
Figure 6. Bossung curves for 0.5 micron target CD at different resist thicknesses (source: Silvaco https://www.silvaco.com/content/kbase/optolith_ws.pdf?20140113)

An example of the impact of resist parameters on the Bossung curves is shown in Figure 7 [Erdmann, 2011].

View attachment 21799
Figure 7. Bossung curves for horizontal and vertical dense and isolated 40 nm lines (wavelength=13.6 nm, NA=0.25, sigma=0.5), for two different resist calibrations (top: A, bottom: B). RMS fit values in nm are also shown. Reprinted with permission by SPIE, from A. Erdmann et al., Proc. SPIE vol. 8171, 81710M © 2011 SPIE.

The best focus results of Figure 7 are tabulated as below:

View attachment 21800

In both resist calibrations, the best focus range is much worse (75-200 nm) than generally projected by aerial images (15-30 nm), due to the realistic resist behavior including absorption and secondary electron exposure. It is clear that while aerial images provide clues that guide us to fundamental characteristics of image defocus, the actual resist images may follow entirely different Bossung curves.

Impact on EUV and how it is being addressed

EUV lithography is particularly sensitive to the impact of the pitch-dependent best focus when it is applied to single exposure of random logic layout patterns (including cuts, vias) or even memory (array+periphery) layouts which incorporate multiple pitches between features. The large range of best focus could be enough to result in severe pitch restrictions or even pattern splitting. So some groups are working on directly addressing the root cause of the 3D mask effects. It is not related to resolution but to the mask pattern thickness itself.

As mentioned previously, the root cause of the pitch-dependent skewed Bossung curves is the phase shift from the index of refraction difference as the light travels along the absorber height. The phase shift impact on the feature image is dependent on the pitch [Erdmann, 2017]. For this reason, it is possible to nearly remove the effects noted above by choosing a thinner absorber with n~1 and larger absorption coefficient. Aluminum, for example, has n very close to 1, so its best focus behavior is nearly ideal, as shown in Figure 8 [Erdmann, 2016]. However, the contrast provided by aluminum is not sufficient, because its absorption is too low [Erdmann, 2017]. Nickel seems to be a popular candidate because of its better absorption, although its index of refraction is further from 1 [Erdmann, 2017] and its etching is nontrivial [Hay, 2016]. This is an area of active exploration today, particularly at IMEC [Philipsen, 2017], continuing work that was carried out by Intel [Krautschik, 2001; Yan, 2002] and IBM [Burkhardt, 2015].

View attachment 21801
Figure 8. Best focus vs. 16 nm feature pitch (dipole illumination) for different absorber materials; the default is TaBN. Reprinted with permission by SPIE, from A. Erdmann et al., J. Micro/Nanolith. MEMS MOEMS vol. 15(2), 021205 © 2016 SPIE.

Acknowledgement:

I thank Martin Burkhardt of IBM for helpful discussions during the preparation of this article.

References:

J. W. Bossung, "Projection Printing Characterization," Proc. SPIE vol. 100, pp. 80-94 © 1977 SPIE.

M. Burkhardt and A. Raghunathan, "Best focus shift mechanism for thick masks," Proc. SPIE vol. 9422, 94220X © 2015 SPIE.

A. Erdmann, P. Evanschitzky, F. Shao, T. Fuhner, G. F. Lorusso, E. Hendrickx, M. Goethals, R. Jonckheere, T. Bret and T. Hofmann, "Predictive Modeling of EUV-Lithography: The Role of Mask, Optics, and Photoresist Effects," Proc. SPIE vol. 8171, 81710M © 2011 SPIE.

A. Erdmann, P. Evanschitzky, J. T. Neumann, and P. Graupner, "Mask-induced best-focus shifts in deep ultraviolet and extreme ultraviolet lithography," J. Micro/Nanolith. MEMS MOEMS vol. 15(2), 021205 © 2016 SPIE.

A. Erdmann, D. Xu, P. Evanschitzky, V. Philipsen, V. Luong, and E. Hendrickx, "Characterization and mitigation of 3D mask effects in extreme ultraviolet lithography," Adv. Opt. Tech. vol. 6(3-4), 187-201 © 2017 THOSS Media and De Gruyter.

D. Hay, P. Bagge, I. Khaw, L. Sun, O. Wood, Y. Chen, R-H. Kim, Z. J. Qi, and Z. Shi, "Thin Absorber EUV Photomask Based on Mixed Ni and TaN Material," Proc. SPIE vol. 9984, 99840G © 2016 SPIE.

C. Krautschik, M. Ito, I. Nishiyama, and K. Otaki, "The Impact of the EUV mask Phase Response on the Asymmetry of Bossung Curves as Predicted By Rigorous EUV Mask Simulations," Proc. SPIE vol. 4343, 392-401 (c) 2001 SPIE.

M. Sugawara, "Assessment of pattern position shift for defocusing in EUV lithography," Proc. SPIE vol. 6517, 65170X © 2007 SPIE.

V. Philipsen, K. Vu Luong, L. Souriau, A. Erdmann, D. Xu, P. Evanschitzky, R. W. E. van de Kruijs, A. Edrisi, F. Scholze, C. Laubis, M. Irmscher, S. Naasz, C. Reuter, and E. Hendrickx, "Reducing extreme ultraviolet mask three-dimensional effects by alternative metal absorbers," J. Micro/Nanolith. MEMS MOEMS vol. 16(4), 041002 (c) 2017 SPIE.

P-Y. Yan, "The Impact of EUVL Mask Buffer and Absorber Material Properties on Mask Quality and Performance," Proc. SPIE vol. 4688, 150-160 © 2002 SPIE.

Z. Zhu, K. D. Lucas, J. L. Cobb, S. D. Hector, A. J. Strojwas, "METROPOLE-3D: a three-dimensional electromagnetic field simulator for EUV masks under oblique illumination," Proc. SPIE vol. 5256, 585-594 © 2003 SPIE.

"Optimization of Photolithography Process Using Simulation," SILVACO, https://www.silvaco.com/content/kbase/optolith_ws.pdf?20140113
 
Last edited:
I'm eagerly awaiting the time when we 3D-model the masks to then use these effects as an advantage point for smaller process nodes.

Great article!
 
I'm eagerly awaiting the time when we 3D-model the masks to then use these effects as an advantage point for smaller process nodes.

Great article!

Thanks! In fact, attenuated phase shift masks have been commonly used with DUV scanners. These have 180-degree phase-shifts built in by design, specifically to enhance resolution as you have alluded to. The 180-degree phase-shift regions must be at least partially transmitting. Of course, the manufacturing control of the phase-shift layer thickness now needs to be considered as well, as it affects both phase as well as absorption differences. So it's a naturally 3D construction. The same approach applied to EUV has been studied as well, although I did not include this more recent development in the scope of the above article. One paper on this, I just got a hold of to study as a follow-up: A. Erdmann et al., "Attenuated PSM for EUV: Can they mitigate 3D mask effects?," Proc. SPIE vol. 10583, 1058312 (c) 2018 SPIE. One point to note (from the abstract): "The scattering of light at the absorber edges results in signifi cant phase deformations, which impact the effective phase and the lithographic performance of attenuated phase shift mask (attPSM) for EUV."
 
Last edited:
I'm eagerly awaiting the time when we 3D-model the masks to then use these effects as an advantage point for smaller process nodes.

When I left lithography around 7 years mask 3D effects were already taken into account. Maxwell solvers taking the 3D shape of the masks were used to compute the far field diffraction. Big difference with EUV is that there you have reflective masks causing shadowing effects that vary from left to right on the mask as the angle of incidence varies from left to right.
 
Interesting. What made you leave lithography back then?

I always joke that it was because EUV development would be a repitition of 193nm with immersion, just smaller wavelength.
No, I was involved in SRAM project and I became much interested in the design side of things over the (boring) process optimization @ litho and I also I did not feel fully appreciated for the job I did at litho.
 
An update is given by IMEC at the 2018 EUVL Workshop, including comparison with High-NA: https://www.euvlitho.com/2018/P62.pdf

A concise summary is provided by this figure:

View attachment 22025

Some focus differences are quite noticeable, like over 20 nm difference for vertical 36 nm pitch trenches vs. 50 nm pitch trenches (horizontal or vertical) or over 30 nm difference for vertical vs. horizontal 36 nm pitch trenches. Horizontal dark lines have the largest focus range across pitch of all.
 
Last edited:
Back
Top