Array
(
    [content] => 
    [params] => Array
        (
            [0] => /forum/index.php?threads/spie-advanced-lithography-2018-status-and-prospect-of-euv-in-hvm.10030/
        )

    [addOns] => Array
        (
            [DL6/MLTP] => 13
            [Hampel/TimeZoneDebug] => 1000070
            [SV/ChangePostDate] => 2010200
            [SemiWiki/Newsletter] => 1000010
            [SemiWiki/WPMenu] => 1000010
            [SemiWiki/XPressExtend] => 1000010
            [ThemeHouse/XLink] => 1000970
            [ThemeHouse/XPress] => 1010570
            [XF] => 2021370
            [XFI] => 1050270
        )

    [wordpress] => /var/www/html
)

SPIE Advanced Lithography 2018: status and prospect of EUV in HVM

user nl

Member
Happy New Year to all. Time flies and a new SPIE Advanced Lithography meeting is on the horizon at the end of Feb 2018.

It seems EUV HVM will finally start in 2018 and the SPIE meeting will be discussing the present status and future prospects and issues. Many of the abstracts of the Extreme Ultraviolet (EUV) Lithography IX sub-conference are now online. Two keynote lectures:

Thirty Years in the Making: EUV in high-volume manufacturing(Keynote Presentation)
Paper 10583-1
Author(s): George A. Gomba, GLOBALFOUNDRIES Inc. (United States)


EUV photolithography: Resist progress and challenges(Keynote Presentation)
Paper 10583-2
Author(s): Christopher K. Ober, Hong Xu, Vasiliki Kosma, Emmanuel Giannelis, Cornell Univ. (United States); Kazunori Sakai, JSR Corp. (Japan)

EUV lithography is a leading candidate for next generation lithography because it offers the ability to make arbitrarily shaped patterns at very small length scale. The challenge for EUV resists is to satisfy necessary resolution, line-width roughness (LWR) and sensitivity requirements. The performance targets require development of entirely new resist platforms. For example, zirconium oxide nanoparticles with added PAC enable sub 20nm line negative tone patterns, show some of the best EUV sensitivity results ever reported. This presentation will overview the approaches taken to date and highlight new metal oxide nanoparticle photoresists for this application.



and a special session on shot noise, introduced with a tutorial by a long-time skeptic of EUV, Chris Mack:




Special Session: Three Points on Shot Noise, 100 Years Later
Wednesday 28 February 2018
3:40 PM - 4:40 PM
Location: Room 220A
Session Chairs:
Kenneth A. Goldberg, Lawrence Berkeley National Lab. (United States) ;
Nelson M. Felix, IBM Corp. (United States)



Tutorial Talk: Shot noise: A 100 year history, with applications to lithography (Invited Paper)
Paper 10583-38
Author(s): Chris A. Mack, Fractilia, LLC (United States)

The term “shot noise” (Schroteffekt) was coined in 1918 when Walter Schottky studied electrical noise in vacuum tubes. Earlier still, the foundations of shot noise theory go back to Einstein who in 1905 gave convincing proofs that both light and matter are made of discrete particles. , When the numbers of particles that affect observable outcomes is large, shot noise effects (variability in number as a fraction of the mean number) becomes small and the continuum approximation (energy and matter are continuous) becomes accurate. But at small dimensional scales, where the number of discrete particles or events is small, the counting statistics of shot noise can dominate. This paper will review the 100 year history of shot noise in science and engineering, with special emphasis on the role of shot noise in lithography today

The implications of shot noise on EUV patterning performance (Invited Paper)
Paper 10583-39
Author(s): Patrick P. Naulleau, Lawrence Berkeley National Lab. (United States)

Shot noise, originally discovered in 1918 by Walter Schottky while working on vacuum tubes, is fundamentally a result of the discrete nature of electron charge. The shot noise effect can be described as a Poisson random variable providing a well defined analytical framework for understanding the impacts. Shot noise also applies to the detection of photons again as a result of the discrete nature of the photo-electric effect and this is the effect that leads to "grainy" pictures when taken under low light conditions. This effect has long been a concern in EUV lithography as a result of the high photon energy and limitations in source power pushing the technology to relatively low photon dose levels. From the perspective of a CCD detector, predicting the imaging impact of photon shot noise is quite straight forward, however, when the detector is a photoresist, as is the case in lithography, the picture becomes more complicated. The complication arises both from the ill-defined nature of a "pixel" in photoresist as well as the discrete and random nature of photoresist itself. As described above, shot noise fundamentally arises from attempting to count a discretized random process, thus one can think of the resist material itself also suffering from shot noise. As EUV lithography pushes to smaller and smaller dimensions, it can be shown that the resist material shot noise terms become comparable to the photon effect. Ultimately meeting patterning performance requirements will require ensuring both adequate absorbed photon counts as well as adequate density of chemical species in the photoresist.

Shot noise, LER, and the RLS trade-off: a retrospective (Invited Paper)
Paper 10583-40
Author(s): Robert L. Brainard, SUNY CNSE/SUNYIT (United States); Peter Trefonas, Dow Electronic Materials (United States); Gregg M. Gallatin, Applied Math Solutions, LLC (United States)




See the full program here:

Conferences | SPIE Advanced Lithography


User NL



 
Back
Top