Array
(
    [content] => 
    [params] => Array
        (
            [0] => /forum/index.php?threads/lam-research-teams-up-with-sk-hynix-to-enhance-dram-production-cost-efficiency-with-breakthrough-dry-resist-euv-technology.16226/
        )

    [addOns] => Array
        (
            [DL6/MLTP] => 13
            [Hampel/TimeZoneDebug] => 1000070
            [SV/ChangePostDate] => 2010200
            [SemiWiki/Newsletter] => 1000010
            [SemiWiki/WPMenu] => 1000010
            [SemiWiki/XPressExtend] => 1000010
            [ThemeHouse/XLink] => 1000970
            [ThemeHouse/XPress] => 1010570
            [XF] => 2021370
            [XFI] => 1050270
        )

    [wordpress] => /var/www/html
)

Lam Research Teams Up with SK hynix to Enhance DRAM Production Cost Efficiency with Breakthrough Dry Resist EUV Technology

Daniel Nenni

Admin
Staff member
Company underscores its leadership in driving the next era of EUV lithography


Fremont, Calif., June 14, 2022 — Lam Research (Nasdaq: LRCX) today announced that SK hynix Inc. has selected Lam’s innovative dry resist fabrication technology as a development tool of record for two key process steps in the production of advanced DRAM chips. A breakthrough technology introduced by Lam in 2020, dry resist extends the resolution, productivity, and yield of Extreme Ultraviolet (EUV) lithography, a pivotal technology used in the production of next-generation semiconductors.

Through Lam’s work with SK hynix and ongoing collaboration with ecosystem partners on dry resist technology, the company continues to take a leadership role in driving patterning innovations to remove the roadblocks associated with scaling to future memory nodes with EUV lithography.

“Lam’s dry resist technology is a game-changer. By innovating at the material level, it addresses EUV lithography’s biggest challenges, enabling cost-effective scaling for advanced memory and logic,” said Richard Wise, vice president and general manager of the dry resist product group at Lam. “We are proud to continue our long-standing collaboration with SK hynix to accelerate DRAM technology innovations.”

SK hynix intends to use Lam’s dry resist underlayer and dry development processes for advanced DRAM patterning. “As DRAM continues to scale, innovations in EUV patterning are critical for delivering the performance needed for today’s increasingly connected devices at a cost that is right for our customers,” said BK Lee, head of R&D process at SK hynix. “The dry resist technology that we are working on with Lam enables exceptionally precise, low defect, and lower cost patterning.”

As chipmakers move to advanced technology nodes, they must resolve ever smaller and finer chip designs on the wafer. First developed by Lam in collaboration with ASML and IMEC, dry resist technology offers several advantages over conventional chemically amplified resist patterning for EUV lithography. Dry resist technology solutions significantly enhance EUV sensitivity and the resolution of each wafer pass, enabling patterns to better adhere to the wafer and improving performance and yield. In addition, Lam’s dry resist development approach offers key sustainability benefits by consuming less energy and five to ten times less raw materials than traditional chemical wet resist processes.

Additional Media Resource: Why New Photoresist Technology Is Critical

About Lam Research
Lam Research Corporation (NASDAQ: LRCX) is a global supplier of innovative wafer fabrication equipment and services to the semiconductor industry. Lam’s equipment and services allow customers to build smaller and better performing devices. In fact, today, nearly every advanced chip is built with Lam technology. We combine superior systems engineering, technology leadership, and a strong values-based culture, with an unwavering commitment to our customers. Lam Research is a FORTUNE 500® company headquartered in Fremont, California, with operations around the globe. Learn more at www.lamresearch.com (LRCX-P).
 
Back
Top