Array
(
    [content] => 
    [params] => Array
        (
            [0] => /forum/index.php?threads/intel-to-try-to-become-a-foundry-again.13945/page-2
        )

    [addOns] => Array
        (
            [DL6/MLTP] => 13
            [Hampel/TimeZoneDebug] => 1000070
            [SV/ChangePostDate] => 2010200
            [SemiWiki/Newsletter] => 1000010
            [SemiWiki/WPMenu] => 1000010
            [SemiWiki/XPressExtend] => 1000010
            [ThemeHouse/XLink] => 1000970
            [ThemeHouse/XPress] => 1010570
            [XF] => 2021370
            [XFI] => 1050270
        )

    [wordpress] => /var/www/html
)

Intel to try to become a foundry again?

It's long overdue. TI, Micron, Google, Apple, Microsoft, Applied Materials, and ASML all have major R&D, operations, and/or manufacturing presence in Taiwan for obvious reasons.

Intel has been all over the place except in Taiwan. If Intel can do so much in Ireland and Israel, why they did so little in Taiwan? Do they intentionally avoid utilizing engineering talents in Taiwan?

Some people might worry any possible CCP's attack on Taiwan. But for more than 70 years there's no any single bomb or missle landed in Taiwan.

On the other hand it's interesting to see that Intel isn't worry anything about their fabs in Israel at all. Even there were 2600 rocket attacks on Israel in 2018 and 2019 alone.


Taiwan is a great customer of Israeli arms industry. A new series of missiles that can reach Chinese cities and carriers are in production. Taiwan is developing deterrents.

Taiwan wants their freedom and will.to work hard for it and make the financial sacrifices.
 
Where does demand fit into this equation? We saw massive demand for electronics due to the pandemic in 2020 which has inflated the semiconductor market temporarily. By the time IFS matures and customers design their chips for Intel (say, a couple of years), we will be seeing the tail end of the pandemic induced demand. The industry will shrink in the process of returning to normalcy. I don't think customers will then find it lucrative to get their chips fabbed at Intel, since TSMC will still be ahead in transistor density.
 
1. I heard that Intel's past foundry model was not successful because of its customers (it was so picky it seems). But now, it joined hands with Microsoft and IBM which clearly shows the seriousness in their foundry business.
2. The reason behind Intel taking a bold step with their foundry despite having their technology fallen back is that it wants to attract other customers which are using 10nm and greater technologies. I heard that many microcontrollers, drivers still use higher technologies. Also, the foundry is ready to manufacture ARM processors as well. Qualcomm is also looking forward to working with Intel regarding the foundry. (https://www.forbes.com/sites/daveal...just-what-the-doctor-ordered/?sh=66010f414f48)
3. Other companies are happy to have another choice and were nervous to find only two foundries till now.

I hope the new CEO's come-back has greater potential to not only Intel but also the entire Semiconductor Industry.
 
Last edited by a moderator:
tsmc won intel 2020 SCQI Award for Foundry Service and broad range of technologies. Interesting!
1617185856086.png

 

Half the fact sheet was quotes from other ceos, elected officials, celebrities etc.

It mentions $100 billion projected market, two $10 billion fabs in Arizona and geographic dependency as well as "instability" of asia. A fan in junior high could of written this.

It addresses nothing about the challenges of constructing a Fab, labor as well as concerns of falling behind tsmc and most important sales. Intel is falling so behind tsmc that competition in china , umc and elsewhere will catch up.
 
Intel $20 billion and time over something that wasn't thought out at all? Is there a worldwide need for Intel foundries? I think the fabs will be idle to be honest.

Will Intel address their largest elephant from last time sales? They couldn't sell their services when they did have a process lead. Salespeople are unappreciated and the skill is undervalued but without them the world would be a mess.
 
Now President of Intel Foundry Services:

Dr. Randhir Thakur, chief supply chain officer at Intel
Dates Employed Nov 2017 – Present
Responsible for managing Intel’s external ecosystem across technology, design, manufacturing, and product supply chain to enable Intel’s product life cycle from research and development to high volume manufacturing, including outsourced manufacturing. His organization also manages Intel's corporate sourcing and procurement, supply chain operations, as well as worldwide planning and logistics of product delivery to customers. In this capacity, responsible for company’s multi-billion dollar annual spending with external supplier and partner ecosystem with a global team of >4000 employees including Engineers, Negotiators, Data Scientists, Analysts, Program Managers, Planners, Buyers, Solution Architects, and Product Supply Chain Architects. His organization works across the company with partner organizations such as Intel architecture, design, technology development, manufacturing and operations, business units, and sales and marketing to source the best solutions from the ecosystem to deliver a cadence of leadership products to Intel’s customers.
 

Says that Intel will outsource 7nm to tsmc immediately and homemade is planned for 2023.

It will be interesting to see if parts of Intel will adapt and survive or end up being another Compaq.

I see no reason for Intel to use TSMC 7nm. Intel 10nm is better and AMD is already on TSMC 5nm. The information I have is that Intel will use TSMC 3nm only.
 
I see no reason for Intel to use TSMC 7nm. Intel 10nm is better and AMD is already on TSMC 5nm. The information I have is that Intel will use TSMC 3nm only.

I think intel will go Fab heavy with tsmc.

By the time Intel 7nm goes into production tsmc will be at 3 nm.

I meant Intel 7nm and tsmc 5nm into 2023.
 
1. I heard that Intel's past foundry model was not successful because of its customers (it was so picky it seems). But now, it joined hands with Microsoft and IBM which clearly shows the seriousness in their foundry business.
2. The reason behind Intel taking a bold step with their foundry despite having their technology fallen back is that it wants to attract other customers which are using 10nm and greater technologies. I heard that many microcontrollers, drivers still use higher technologies. Also, the foundry is ready to manufacture ARM processors as well. Qualcomm is also looking forward to working with Intel regarding the foundry. (https://www.forbes.com/sites/daveal...just-what-the-doctor-ordered/?sh=66010f414f48)
3. Other companies are happy to have another choice and were nervous to find only two foundries till now.

I hope the new CEO's come-back has greater potential to not only Intel but also the entire Semiconductor Industry.

1. Intel wasn't picky with customers, customers didn't want to use Intel because Intel was too hard to work with.
2. It's not so simple to port between nodes. Intel's older nodes are not likely to be compatible with foundry older nodes. Completely different design rules, optimized for different applciations.
3. This is true. More competition is always welcome.
 
I see no reason for Intel to use TSMC 7nm. Intel 10nm is better and AMD is already on TSMC 5nm. The information I have is that Intel will use TSMC 3nm only.

Intel 10nm is better? In which regards? Density? Maybe
Yield --> No
Process Chararistics? --> No
Frequency? --> No
Less Design Complexity? -> No

TSMC N7 is miles ahead of Intel 10nm SF
 
Not sure it is all true but part of it is true. Samsung did use third party design service company to tape-out in tsmc and asked for fab audit but got refused in the past.
In that chapter-landing Apple as a TSMC customer, it is true enough to what Apple COO said @1:21:00-1:30:00. What neither has mentioned is that Apple sent out a team to TSMC Tainan for fab audit and got a big welcome.

What really interesting is that after the rejection of Apple's request for chip manufacturing by Intel (2005), Morris bet all TSMC has got on Apple as life depends on it (2010). Only 5 years apart! Not very long, isn't it? One of the two things TSMC has done so right.

 
Back
Top