Array
(
    [content] => 
    [params] => Array
        (
            [0] => /forum/index.php?threads/intel-meteor-lake-delayed-to-the-end-of-2023.17496/page-3
        )

    [addOns] => Array
        (
            [DL6/MLTP] => 13
            [Hampel/TimeZoneDebug] => 1000070
            [SV/ChangePostDate] => 2010200
            [SemiWiki/Newsletter] => 1000010
            [SemiWiki/WPMenu] => 1000010
            [SemiWiki/XPressExtend] => 1000010
            [ThemeHouse/XLink] => 1000970
            [ThemeHouse/XPress] => 1010570
            [XF] => 2021370
            [XFI] => 1050270
        )

    [wordpress] => /var/www/html
)

Intel Meteor Lake delayed to the end of 2023

As it turns out this information is not correct so keep that in mind the next time an alarmist with no semiconductor experience publishes something provocative in a shameless click grab.
Which part(s) are incorrect? Is it this paragraph?

EUV is a process fraught with complexity, uncertainty, and imperfection, yet it works. TSMC, Samsung, and SK Hynix are all in production of EUV at varying volumes. Intel has also confidentially stated that they are manufacturing ready for EUV lithography in their Intel 4 process node. We don’t really believe them because internal documents we obtained show Intel’s first high-volume product utilizing EUV, Meteor Lake, has been delayed yet again, with “ready-to-ship” dates delayed until Week 52, 2023 at minimum. This suggests that Intel is facing challenges in implementing a production-scale design into an EUV process technology.
If so, I agree that SemiAnalysis hasn't done a careful job vetting their information (or at least qualifying it)... but at the same time this is a very small part of the article, and is a tangential detail, not the main point.
 
Which part(s) are incorrect? Is it this paragraph?
If so, I agree that SemiAnalysis hasn't done a careful job vetting their information (or at least qualifying it)... but at the same time this is a very small part of the article, and is a tangential detail, not the main point.

The title is a tangential detail? Intel EUV is not delayed again. And when was the first time it was delayed?

Embracing Chaos: The Imperfect Art of Semiconductor Manufacturing And Lithography

5 Longs, Intel EUV Delayed Again, And More​

 

Attachments

  • Intel EUV delay.jpg
    Intel EUV delay.jpg
    53.3 KB · Views: 61
As it turns out this information is not correct so keep that in mind the next time an alarmist with no semiconductor experience publishes something provocative in a shameless click grab.
We shall see when Intel 4 actually ships. when do you think we will be able to buy a Product with Intel 4 Process technology?
 
I believe Intel 4 can ship on time. But yield and output quantity is uncertain.
Shipping is vague. Release is vague, ready-to ship is vague, HVM ready is vague, production is apparently vague. When you see the announcements and the dancing in 6 months, you will know what I mean.

Please state when you think we will be able to buy a product with Intel 4 technology. Daniel, VCT Please give the date.
 
Intel knew their Intel 4 capacity 1-2 years ago.
Could be lack of confidence of their yield in future MP.
Maybe?

Demand has greatly changed and they are moving equipment around to favor future nodes (for foundry and Intel's own devices). Intel 4 was effectively to be a long lived node and for a full stack. Now they want to go right to 3 for server and desktop.
 
We shall see when Intel 4 actually ships. when do you think we will be able to buy a Product with Intel 4 Process technology?

We should see TSMC N3 based products in Q2/Q3 2023. I can't imagine Intel 4 is far behind.

Please state when you think we will be able to buy a product with Intel 4 technology. Daniel, VCT Please give the date.

I have no idea, I'm inside the semiconductor ecosystem, I'm not at the system level. 2H 2023 would be my guess. They definitely want to be out for the back to school - holiday season. I can ask around and give an update.

From December 2022:

Intel 4 Ready Today, Intel 3 Ready in H2 2023
In 2023 Intel will release its 14th Generation Core Meteor Lake CPU, first client processor featuring a chiplet design. Intel's Meteor Lake products will comprise four chiplets: the compute (Intel 4 process technology). graphics, Soc, and I/O chiplets (TSMC N5/N4). Packaging is Intel's Foveros 3D technology.

So, lots of firsts there, a delay would not be surprising.
 
People really have to be careful who they believe in the semiconductor press. I was doing an internet search for something unrelated to this article below, but the Optane mention piqued my interest. Embedded in the commentary was the worst explanation of how Intel fell behind TSMC in fab process I've ever read. I'm amazed. I'll never read TechTarget again.


The number of wafers an economical leading-edge wafer fabrication plant must process has increased steadily, moving well past what Intel's processors require. Add the mushrooming cost of building one of these fabs and it's clear that Intel couldn't build a leading-edge fab and only use a fraction of its output -- that would render the company unprofitable. As a result, Intel's processor technology fell behind that of the Taiwan Semiconductor Manufacturing Company, which produces wafers for AMD and other firms.
 
Youtuber "Moore's Law Is Dead" give the leaks of MTL.
Source 3: Meteor Lake is targeting a paper launch of the 6+8 laptop config by October. High volume of this model is following December/January. Honestly, we are hoping to have wide availability by 2024 CES.
 
Youtuber "Moore's Law Is Dead" give the leaks of MTL.
Source 3: Meteor Lake is targeting a paper launch of the 6+8 laptop config by October. High volume of this model is following December/January. Honestly, we are hoping to have wide availability by 2024 CES.
This is good info and matches our concern that we need to be skeptical of PR "paper launches" with limited customers or skus. I personally hope to be able to buy a Meteor Lake notebook in Q1 2024
 
There's also several sources saying that Arrow lake is 100% TSMC. Both CPU and GPU tiles are on TSMC N3, while they are re-using the same SOC and IO tiles from Meteor Lake (on N6). I assume this is because Arrow Lake is launching in 2024 Q3 and Intel 20A wasn't ready in time.
 
There's also several sources saying that Arrow lake is 100% TSMC. Both CPU and GPU tiles are on TSMC N3, while they are re-using the same SOC and IO tiles from Meteor Lake (on N6). I assume this is because Arrow Lake is launching in 2024 Q3 and Intel 20A wasn't ready in time.
Previous leaks suggested there are two plans running in parallel for Arrow Lake: (1) Intel 20A+tsmc N3 (2) all tsmc N3. Right now it seems the possibility of 2nd plan becomes larger and larger.
 
There's also several sources saying that Arrow lake is 100% TSMC. Both CPU and GPU tiles are on TSMC N3, while they are re-using the same SOC and IO tiles from Meteor Lake (on N6). I assume this is because Arrow Lake is launching in 2024 Q3 and Intel 20A wasn't ready in time.

That would be a big win for TSMC but I have not heard that. N7/6 has capacity to spare so this would be great.

I wonder how accurate these leaker sites are? I know when they get something right it is highly promoted but quite the opposite when they get something wrong. Leaks are fun to chat about but I would not take them too seriously.
 
Youtuber "Moore's Law Is Dead" give the leaks of MTL.
Source 3: Meteor Lake is targeting a paper launch of the 6+8 laptop config by October. High volume of this model is following December/January. Honestly, we are hoping to have wide availability by 2024 CES.

Great guy, he is one of my contacts. I asked him about MTL but neither of us had a definitive answer. I'm inside the ecosystem so system level stuff is not part of my personal experience but I like rumors as much as the next person. I do know that the Intel/TSMC relationship is good and will yield big for TSMC in the coming quarters. It is my guess that Intel will be TSMC's #2 customer behind Apple. The chiplet era really is a great thing for the foundry business and TSMC is leading the way.
 
That would be a big win for TSMC but I have not heard that. N7/6 has capacity to spare so this would be great.

I wonder how accurate these leaker sites are? I know when they get something right it is highly promoted but quite the opposite when they get something wrong. Leaks are fun to chat about but I would not take them too seriously.
They usually have most facts correct, but often get stuff mixed up. Adored TV says that both desktop and laptop Arrow Lake CPU/GPU is on TSMC N3. Moore's Law says that laptop Arrow Lake might still use Intel 20A
 
They usually have most facts correct, but often get stuff mixed up. Adored TV says that both desktop and laptop Arrow Lake CPU/GPU is on TSMC N3. Moore's Law says that laptop Arrow Lake might still use Intel 20A

I'm with Moore's Law on this one. Corporate wise, if Intel did not make their CPU chiplets on an Intel process the stock price and whole IDM 2.0 strategy would take a serious hit. I highly doubt that Pat Gelsinger would let that happen. Pat is a hard core manufacturing person, absolutely.

People need to understand that manufacturing a chiplet is SO much easier than manufacturing a full chip so the Intel 4 and 20A processes are not at risk here. Assembling those chips however are not a simple matter so if there is a delay that is where I would look.
 
Is it possible that Pat decided to have the same CPU made by TMSC and by Intel to let two team compete?
It will cost a lot more for sure but those money is worth it for Intel to motivate internally for long term competitiveness.
 
Is it possible that Pat decided to have the same CPU made by TMSC and by Intel to let two team compete?
It will cost a lot more for sure but those money is worth it for Intel to motivate internally for long term competitiveness.
It's not quite the same CPU. The desktop is 8 / 16 core and laptop 6 / 8 core. But still it'd be more expensive taping it out on two different nodes. AMD are doing the same thing with Zen 5 - there will be both N4 and N3 versions.
 
  • Like
Reactions: VCT
Is it possible that Pat decided to have the same CPU made by TMSC and by Intel to let two team compete?
It will cost a lot more for sure but those money is worth it for Intel to motivate internally for long term competitiveness.

Since they are chiplets second sourcing is certainly an option but from what I have heard Intel 4 and 20A are doing well so I'm not buying it. I'm not familiar with the Intel/TSMC NDA but with other customers it requires different teams if you are using TSMC and Samsung for example.
 
Back
Top