Array
(
    [content] => 
    [params] => Array
        (
            [0] => /forum/index.php?threads/how-intel-plans-to-rival-tsmc-and-samsung-as-a-chip-supplier.17048/page-2
        )

    [addOns] => Array
        (
            [DL6/MLTP] => 13
            [Hampel/TimeZoneDebug] => 1000070
            [SV/ChangePostDate] => 2010200
            [SemiWiki/Newsletter] => 1000010
            [SemiWiki/WPMenu] => 1000010
            [SemiWiki/XPressExtend] => 1000010
            [ThemeHouse/XLink] => 1000970
            [ThemeHouse/XPress] => 1010570
            [XF] => 2021370
            [XFI] => 1050270
        )

    [wordpress] => /var/www/html
)

How Intel plans to rival TSMC and Samsung as a chip supplier

I wouldn't dump a working double patterned finfet process that uses a DUV machine. It is a great technology.

I believe the US government will continue to back Intel (and other foundry and packaging companies). Wars are now fought with drones, missiles, and satellites. They need chips. The US will continue to print $$$. Ross Perot was correct. The dummies in Washington didn't know the difference between computer chips and potato chips. Now we all know the importance to commerce and national defense relies on having your own foundry.

Don't listen to short term investors. Remember that meeting a few years ago with Elon Musk when he stopped taking questions from big investors and took questions from the youtube bloggers? That was hilarious. Move forward. Keep improving. Persistence.

I wish Daniel would add a "Loser" choice between the Like and Reply buttons.
 
Price's law says that 50% of the work is done by the square root of the total number of people in an organization. Dump the meeting goers, regurgitators, and empire builders. 10's hire 10's, 9's hire 8's, 8's hire 6's. 6's hire 2's. Discard your 6's and 2's. Pat probably needs to clean house. That will help with the financials
 
I wouldn't dump a working double patterned finfet process that uses a DUV machine. It is a great technology..
There are a few reasons I say this. 14nm uses more double patterning than foundry 14nm. Meanwhile intel 16 has similar performance (with the caveat that max clocks can’t go as high as the latest iterations of 14nm). Intel 16 also slightly relaxed dimensions and a much simpler metal stack and design rules. Combine this with the ultra low leakage libraries (that if memory serves are big enough to only require single patterning) and all of the specialty technologies, my opinion this is a better package than 14nm. Only con I see is that there are fewer metal layers reducing maximum product complexity, however I don’t think this a dealbreaker, since I would have to assume nobody was going to be making server chips on 14nm.
 
I am not familiar with Intel's processes. 14nm uses "more than double patterning"? Do you mean triple patterning, or other ways of routing, such as routable contacts, poly and contact cuts, handshaking (like GF)? I like simpler rules, so 16nm sounds better. We relax the rules as well. We use a 13 metal stack from TSMC with M8 and M10 as transition layers. Is that similar to Intel 16nm?

So you are saying that you would keep Intel 16nm and drop 14nm, correct?

Who is "foundry"? I am trying to keep up with the semiwiki lingo, which includes foundry internal names as oppose to gate length.
 
I am not familiar with Intel's processes. 14nm uses "more than double patterning"? Do you mean triple patterning, or other ways of routing, such as routable contacts, poly and contact cuts, handshaking (like GF)? I like simpler rules, so 16nm sounds better. We relax the rules as well. We use a 13 metal stack from TSMC with M8 and M10 as transition layers. Is that similar to Intel 16nm?

So you are saying that you would keep Intel 16nm and drop 14nm, correct?

Who is "foundry"? I am trying to keep up with the semiwiki lingo, which includes foundry internal names as oppose to gate length.
In this context when I talk about foundry I mean TSMC, Samsung, GF, and UMC.

1. I just mean that intel 14nm has more layers that are double patterned than foundry 14nm process.

2. My understanding is that because intel 16 can have single exposure lower metals that designs have far more flexibility.

3. Yeah, I think intel shouldn’t bother cleaning up 14nm. Continue to focus on 16. Per the intel marketing they even say it is cost competitive with foundry 28/20nm. Given all of the advantages and minimal trade offs, I think 14nm is not something most customers would want.

4. From what I’ve seen intel 16 goes up to M8 for the vanilla version.
 
M8 for thin metal routing layers, and thicker (2x, 14x, etc) above that I assume. I gotta believe M1-M3 is double patterned. Probably "foundry-like"?
 
M8 for thin metal routing layers, and thicker (2x, 14x, etc) above that I assume. I gotta believe M1-M3 is double patterned. Probably "foundry-like"?
Per the cross sections that you can find on the internet M1-6 are 90nm so single patterning is possible. M7 is one micron and M8 is four. 2x, 4x, and 8x are available as options between M6 and M7. There is also a MIM layer between M7 and M8.

The multi patterning comes into play at the FEOL where the fins are just a slightly relaxed version of 14nm.

Note: Intel 16 is just 22ffl plus some new toys that weren’t available for the original iteration of the node.
 
Thank you!!! I wonder if IFS will improve the metal stack above M6 to be more competitive with TSMC and GF. We will stick with GF rather than IFS for our second sourcing.
 
Thank you!!! I wonder if IFS will improve the metal stack above M6 to be more competitive with TSMC and GF. We will stick with GF rather than IFS for our second sourcing.
I don’t do design so I’m curious how many metal layers is sufficient for the kind of products built on a 16/14nm node? What sort of things can be done with 6 main metal layers? As a process person this seems sufficient for the sorts of products made on trailing edge nodes, so knowing why this isn’t the case would be enlightening.
 
For analog, 4 thin routing metals is fine. For digital place and route, the size of the block is more a function of routing in met3 and above than the size of the standard cells. The more 1x metal layers, the better. Having met6 as the highest thin metal layer is for a 16nm process can't be correct. Even TSMC 40g allows for met8 to be thin.
 
Question, how will Intel achieve the scale, efficiency and customer bases of Samsung or TSM? Any outside customer will have to be purchased with better technologies, efficiencies and cost structures. Warren Buffet has voted and the winner is TSM. His access to information, political and capital is unmatched. He also have a overall view of business activity that is unmatched and is know for his careful homework. If there is a flaw in this view, please elaborate. Also it looks like Amazon Web Services may radically change the entire world ecosystem and this is not being taken into account.
 
Question, how will Intel achieve the scale, efficiency and customer bases of Samsung or TSM? Any outside customer will have to be purchased with better technologies, efficiencies and cost structures. Warren Buffet has voted and the winner is TSM. His access to information, political and capital is unmatched. He also have a overall view of business activity that is unmatched and is know for his careful homework. If there is a flaw in this view, please elaborate. Also it looks like Amazon Web Services may radically change the entire world ecosystem and this is not being taken into account.
In a way intel already has the customer base of Samsung with how much product intel demands. Due to market issues fab utilization is falling potentially opening up lots of intel 16 capacity (remember back in 2021 intel’s leading edge capacity was like 80% of what TSMC had at the time). Intel will likely struggle in the next couple of years to have much leading edge IFS capacity. Given the extra outsourcing they are doing they should be good for themselves though. From die size estimates on the pictures intel has released, the compute tile on mtl is about on fifth the size of an alderlake mobile die. This disagrigation will allow intel to make their limited number of EUV and modern non litho tools go farther than what would otherwise be possible.

As for technology it seems that intel is already passing Samsung, and has greatly narrowed the gap with TSMC. As intel keeps spending to improve their ecosystem even more customers can justify moving some parts to intel further improving their ecosystem.

As for AWS they do their packaging with intel for graviton 3 and if memory serves they are one of the companies that announced they are working with intel’s early ecosystem. Obviously no guarantees they will ever use it, but they are at least considering it. So even if intel loses a large portion of their business they can still monetize the packaging and maybe in the future part of the silicon (something AMD can’t do as a fabless company). Of course merchant chip vendors will still sell plenty of server or HPC products to companies that can’t justify the expense of designing their own chips/buying ip licenses.

On WB he doesn’t take risk. Intel is a risk as well as probably being overvalued at the moment (not financial advice). For WB TSMC is a no brainer for him. However that doesn’t mean intel cannot find success in a field where TSMC has such high margins and Samsung is lagging on the technological front.
 
A very interesting development appears to be solidifying: TSMC will expand Arizona to 4 and 3nm https://www.theregister.com/2022/12/01/apple_tsmc_us_chips/

That 3nm is being committed for fabs in the US appears to be an obvious admission that TSMC sees its biggest customers — Apple, AMD, Nvidia — demanding US-based production to de-risk their supply chains.

For Intel, this is both a good and bad thing… a large portion of Gelsinger’s IFS differentiation via US/EU-based capacity will be diminished if TSMC also provides significant US-based capacity. But, it also means a faster ramp to the overall leading-edge foundry ecosystem in the US, along with increasing the talent pool for people to run these fabs…

Damn, what an interesting time for this industry.
 
Question, how will Intel achieve the scale, efficiency and customer bases of Samsung or TSM? Any outside customer will have to be purchased with better technologies, efficiencies and cost structures. Warren Buffet has voted and the winner is TSM. His access to information, political and capital is unmatched. He also have a overall view of business activity that is unmatched and is know for his careful homework. If there is a flaw in this view, please elaborate. Also it looks like Amazon Web Services may radically change the entire world ecosystem and this is not being taken into account.
Warren Buffet is not known for investing in tech. He made two big bets in tech: IBM and Apple. And his results were mixed: good profit on Apple and big loss on IBM.
 
Warren Buffet is not known for investing in tech. He made two big bets in tech: IBM and Apple. And his results were mixed: good profit on Apple and big loss on IBM.
Berkshire does invest in techs such as IBM infrequently as early as 2011. Berkshire cleared out all IBM shares it owned by 2018 with little loss to small gains but not big loss.
 
Berkshire does invest in techs such as IBM infrequently as early as 2011. Berkshire cleared out all IBM shares it owned by 2018 with little loss to small gains but not big loss.
If they recouped the money after 7 years that's a big loss. S&P doubled from 2011 to 2018.
 
Back
Top