Array
(
    [content] => 
    [params] => Array
        (
            [0] => /forum/index.php?threads/happy-holidays-euv-status-report-end-of-2015.6991/
        )

    [addOns] => Array
        (
            [DL6/MLTP] => 13
            [Hampel/TimeZoneDebug] => 1000070
            [SV/ChangePostDate] => 2010200
            [SemiWiki/Newsletter] => 1000010
            [SemiWiki/WPMenu] => 1000010
            [SemiWiki/XPressExtend] => 1000010
            [ThemeHouse/XLink] => 1000970
            [ThemeHouse/XPress] => 1010570
            [XF] => 2021370
            [XFI] => 1050270
        )

    [wordpress] => /var/www/html
)

Happy Holidays: EUV status report end of 2015

user nl

Member
I was studying a bit the latest openly reported updates on EUV technology as presented by INTEL and ASML at the special EUV sources workshop in Dublin (9-11 Nov 2015), and listening to the investors webcasts from 2 Dec at Scottsdale by ASML CFO Wolfgang Nickl and from Dec 8 at San Francisco by ASML Director Investor Relations Skipp Miller. All the links are at the end of this post.

My take from this all:
1) solid progress is made during 2015, it seems ASML reached all their targets.

2) there are indeed 3 NXE3350 (the newest model) shipped this year. Skipp said you may hear a few snippets of its first performance at SPIE2016 end of February, but probably not a whole lot. It takes a while to get the machine tuned-up and collect solid data on wafers. Probably more during Q2 or mid 16. However, he said you'll probably hear less and less on that from the customers as they are working on their EUV process development and EUV HVM insertion.

3) Skipp also clearly said INTEL is now fully working on process development using EUV for the 7 nm node, while TSMC is working fully on mid-node 10 nm insertion of 1 or 2 layers. He didn't say much about Samsung's EUV insertion strategy.

4) From the Dublin meeting slides of INTEL's Britt Turkot one can see various tests at INTEL on wafers/day and runs at 80 Watt source power. There was good improvement made in the droplet generator, and it is clear the source power of the field installed systems can run (much) higher. But probably the collector lifetime reduces too much yet if they would run at say >100 Watt. Data provided in the slides by IBM shows a run from August-end of September at 80 Watt from which a 6 month collector lifetime is estimated. INTEL and TSMC probably want to first get the in situ collector cleaning installed, before they run the source at higher power.

Data is shown that ASML has had in house run the source at 185 Watt for 1 hour at 23% dose overhead. So the source power target of 250 Watt seems already basically done.

The main thing for the INTEL development team is now the availability (they don't need the high source power now) and 4 week runs showing close to 70% results. But this needs to be much higher.

Many more details in the slides on the whole EUV ecosystem and what the status is, also removable pellicles that ASML will start producing in 2016 with coatings that can handle >120 Watt.

5) the targets set by ASML for 2016 are 250 Watt source power, >125 Wafers/hour and >90% availability.

6) for the investors: ASML's CFO 2020 target of 10 BEuro revenue and tripling of earnings (all relative to 2013 earnings of about 1 BEuro) are still standing full in his and Skipp's talks.

The thing now is to start on EUV lithography for nodes beyond 2020 and getting the EUV system to high NA.


Happy Holidays, user nl




2 Dec Scottsdale:
https://cc.talkpoint.com/cred001/120115a_ae/?entity=107_IH2KOY6

8 Dec San Francisco:
https://cc.talkpoint.com/barc002/120815a_ae/?entity=65_8SMC4EN

Dublin, INTEL
http://www.euvlitho.com/2015/S1.pdf

Dublin, ASML
http://www.euvlitho.com/2015/S2.pdf

Dublin complete contributions and links:
http://www.euvlitho.com/2015/2015 Source Workshop Proceedings.pdf
 
Last edited:
A short update:

I just went through many of the abstracts of the SPIE 2016 meeting:
Conference Detail for Extreme Ultraviolet (EUV) Lithography VII

The abstracts were due 8 September 2015 and are generally written such that it leaves the authors enough space to include the latest results (if they want) in the final papers that are due 25 January 2016.

Many results were taken with the NXE3300 and lots of activity on the resist part, metal oxide based resists seem very hot, besides CARs and novel approaches.

Even though I just read that TSMC has said

it has started work on a 5nm process to push ahead its most advanced technology, yet the company remains undecided on the adoption of extreme ultraviolet lithography at that node
TSMC Work on 5nm Process Leaves EUV Undecided | EE Times

reading all the novel EUV work to be presented next February seems to suggest it is really just a matter of when for TSMC.....

user nl
 
Looking through Intel's presentation at euvlitho, and also TSMC's comments in local media besides EETimes, it looks like EUV cannot be implemented at 10 nm (ASML stated so as well in their euvlitho presentation), and 7 nm is in serious risk. A lot of the remaining gaps are in areas ASML has no control over, particularly actinic inspection. But ASML also did not meet their 2015 targets.
 
ASML p. 12 shows it must meet 60 WPH @ 20 mJ/cm2 (hasn't yet), yet its print result on p. 26 shows it needs 40 mJ/cm2 at 7 nm node, so the power needs to double, beyond the assumptions of p. 12 (i.e., >160 W), to keep the same throughput status (which is currently still not enough). 125W is already a challenge presently, considering the pellicle stability.
 
Thank you for the great post. I discussed EUV during my last Taiwan trip. TSMC will not do production EUV at 10nm and since 10nm and 7nm will share the same fab I doubt EUV will be used for 7nm either. EUV machines are quite big and disruptive I'm told. Does anyone know how big and how much they weigh? I could not find it on the ASML website:

https://www.asml.com/asml/show.do?lang=EN&ctx=46772&dfp_product_id=842

View attachment 16049

I certainly do believe Intel will be using EUV at 7nm but remember Intel 7nm and the foundry version of 7nm is not the same. Intel is bound by Moore's law and the .7 shrink. The foundries are bound by Apple's Law which means they need to have a new process every year optimized for an ARM based SoC.

At 5nm I'm told the foundries will change materials (nanowires, nanotubes, etc...) so EUV may not be feasible?
 
Last edited:
Daniel, thanks. Yes, I already understood that Apple sort-off pays the TSMC '10nm-tick' as they need the new iPhone now! It doesn't matter too much for ASML, TSMC is buying the latest iArF-NXT1980 anyway from them. It seems not many people are talking about Nikon anymore? Any idea what is happening there?

Regarding the weight, no idea, the whole CO2-drive laser system is below the scanner on a separate sub-fab floor, see one of the slides in the ASML Dublin talk.

Any idea what Samsung is up to?

Happy Holidays!
 
If you plot source stability time vs power level, you'll find how long the source is stable exponentially decays with power. That says something.

80W, 30days (p. 13)
95W, 10days (p. 14)
185W, 0.04days (p. 15)
 
Last edited:
Combined availability ~60% with >15,000 good wafers patterned over eight
week period
15k/8/7*30 ~=8k/month, stable and good.
The further improvement request seems to be based on margins instead of technology.
So EUV is ready to take off.
 
TSMC is assessing how to implement EUV at 5nm
TSMC Starts Work On 5nm Process, 7nm Due In 2017 | Digital Trends

Speaking at a conference at the company’s headquarters earlier this month, Mark Liu confirmed that TSMC has embarked upon development of a five naonometer process node. However, it seems that there’s still some work to be done before a decision is made on whether or not to adopt extreme ultraviolet lithography at this scale, according to a report from Hexus.

However, EUV tool maker ASML has warned that the technology might not yet be applicable to the 5nm process. The work that’s going on at TSMC right now might be targeted towards finding a method of making EUV practices viable as the company prepares for manufacturing at 5nm.
 
price per kilogram:
is an EUV stepper the most expensive tool per kg?
do you have a graph o the increasing cost since 248 ? nm?
 
TSMC is assessing how to implement EUV at 5nm
TSMC Starts Work On 5nm Process, 7nm Due In 2017 | Digital Trends

Speaking at a conference at the company’s headquarters earlier this month, Mark Liu confirmed that TSMC has embarked upon development of a five naonometer process node. However, it seems that there’s still some work to be done before a decision is made on whether or not to adopt extreme ultraviolet lithography at this scale, according to a report from Hexus.

However, EUV tool maker ASML has warned that the technology might not yet be applicable to the 5nm process. The work that’s going on at TSMC right now might be targeted towards finding a method of making EUV practices viable as the company prepares for manufacturing at 5nm.

Yes ASML is marketing a next-generation EUV tool with anamorphic optics to target 11-12 nm lines and spaces for the 5 nm node. That tool is obviously not out yet, they are still shipping the ones that aim to start yet have to stop at 7 nm node.
 
Last edited:
Back
Top