Array
(
    [content] => 
    [params] => Array
        (
            [0] => /forum/index.php?threads/euv-was-never-going-to-be-single-patterning.8935/page-2
        )

    [addOns] => Array
        (
            [DL6/MLTP] => 13
            [Hampel/TimeZoneDebug] => 1000070
            [SV/ChangePostDate] => 2010200
            [SemiWiki/Newsletter] => 1000010
            [SemiWiki/WPMenu] => 1000010
            [SemiWiki/XPressExtend] => 1000010
            [ThemeHouse/XLink] => 1000970
            [ThemeHouse/XPress] => 1010570
            [XF] => 2021370
            [XFI] => 1050270
        )

    [wordpress] => /var/www/html
)

EUV was never going to be single patterning

3 layers avoided by process experience and DTCO in the cell library is not all layers. The wording is deliberately vague but if they had avoided all you can be sure they would boast it.
 
That makes sense.
What about TSMC's 2N? will that be EUV quad pattering, or is that still unknown?
 
That makes sense.
What about TSMC's 2N? will that be EUV quad pattering, or is that still unknown?
Given what happened with 20nm and 16FFL, and the projected 1.1x density for N2; my guess is N2 uses the same BEOL as N3. EUV double has a ways to scale further. I think that EUV double, quad duv, and high-na single are capable of getting to like 19nm feature size (or something like that).
 
Last edited:
If there were a Manhattan pattern technology printing squares in EBL, what would those squares need to be to be the equivalent of EUV NA .55 with dual patterning? I'm guessing about 14x14nm. With maybe 14nm circles for contact/vias?
 
That makes sense.
What about TSMC's 2N? will that be EUV quad pattering, or is that still unknown?
Remember the primary goal of N2 is GAA and then (possibly in the same initial N2, more likely a year later in an N2B [or whatever name]) BSPD.
Each of these (especially BSPD) will free up some effective density even if they don't increase the absolute maximum density; we may get some minor improvements to minimum cell size but that's not the focus of N2.

The official TSMC pronouncement is the limited "density >1.1x"...
 
Relaxed upper metal pitches, > 40nm, similar to 10nm node MMP.

Afterthought: these may very well be long single-pitch SADP lines (no cut/self-trim), which also need only a single exposure.

Second thought: the cross section might already show clues.
 
Last edited:
Relaxed upper metal pitches, > 40nm, similar to 10nm node MMP.
Even with ~50 nm pitch, stochastic behavior is still significant (https://www.semanticscholar.org/pap...bert/4773b3807b16e62a1fdb5aba1057b2989136f210), so the yield or throughput would still be impacted. A single exposure illumination also limits the pitch due to shift vs. focus, as well as best focus vs. pitch (http://euvlsymposium.lbl.gov/pdf/2015/Oral_Monday/Session2_EUV Insertion in Manufacturing 2/S2.4_Wittebrood.pdf).

1675594598596.png
 
Last edited:
Given what happened with 20nm and 16FFL, and the 1.1x density my guess is N2 is the same BEOL as N3. EUV double has a ways to scale further. I think that EUV double, quad duv, and high-na single are capable of getting to like 19nm feature size (or something like that).
Not sure how much the pitches will scale. It doesn't look like CGP moved much, and the nanosheets can still be quite wide. Contact resistance can be bigger as well.
 
If there were a Manhattan pattern technology printing squares in EBL, what would those squares need to be to be the equivalent of EUV NA .55 with dual patterning? I'm guessing about 14x14nm. With maybe 14nm circles for contact/vias?
The point spread function of 0.55 NA EUV is ~15 nm. The point spread function of 0.33 NA EUV is ~ 25 nm.
 
Last edited:
Not sure how much the pitches will scale. It doesn't look like CGP moved much, and the nanosheets can still be quite wide. Contact resistance can be bigger as well.
HNSs offer some Lg improvements (reducing CPP), and because you have an effective 1.5 fin device you can shrink cell height. I would assume these alone could provide a >1.1x theoretical density improvement. Maybe it needs N3's BEOL rather than N3E's. But either way I think they might be enough to do the job with some modifications. We probably need to wait two more years before having any indication of whether this is the case though.
 
Last edited:
N5 already had one double patterned layer and the minimum metal pitch disclosed by TSMC would still require double patterning. This statement was moreso that they relaxed pitches enough for 3 of the double patterned layers to move to high dose single exposures. Given the lack of announced DTCO improvements on the standard cell level and the fact that the 2 fin library is as dense as it is, it is a safe assumption that there are probably a bit more single patterned layers and multiple extra double pattered EUV layers on N3E.
In short, N3 still requires multipatterning for both DUV and EUV.
 
Given what happened with 20nm and 16FFL, and the 1.1x density my guess is N2 is the same BEOL as N3. EUV double has a ways to scale further. I think that EUV double, quad duv, and high-na single are capable of getting to like 19nm feature size (or something like that).
19 nm (half-pitch) is possible with DUV SADP, but not every expected case.
 
Last edited:
I visually estimated the lower metal pitches from Figure 15 of the N3E IEDM paper 27.5:

TSMC N3 Lower BEOL and MOL.png

The 23 nm pitch layers cannot be single exposure since the pupil fill is too low, which means the EUV system itself is absorbing a lot of the EUV light. There is also cross slit rotation more severely affecting the dipole illumination.
The next few layers I estimated to be around 30 nm pitch. Presumably these would be assumed to be the single exposure layers (edit: should still be double-patterned), but the M3 line gap space is also tight (~30 nm), so a cut is still necessary. It seems cuts are not included in the single exposure description, but the layer should be considered a multi-patterned layer nonetheless, since a cut is an additional exposure. And as far as safe cuts are concerned, SALELE would still be the preferred way.
P30 cut.png
P30 cut stochastic.png

M5 looks like it may not require cuts since the gaps are wider. Above M5, the pitch jumps up ~2X, so it looks like around 60 nm, very relaxed, like early double patterning days.
 
Last edited:
I visually estimated the lower metal pitches from Figure 15 of the N3E IEDM paper 27.5:

View attachment 1044
The 23 nm pitch layers cannot be single exposure since the pupil fill is too low, which means the EUV system itself is absorbing a lot of the EUV light. The next few layers I estimated to be around 30 nm pitch. Presumably these would be assumed to be the single exposure layers, but the M3 line gap space is also tight (~30 nm), so a cut is still necessary. It seems cuts are not included in the single exposure description, but the layer should be considered a multi-patterned layer nonetheless, since a cut is an additional exposure. And as far as safe cuts are concerned, SALELE would still be the preferred way. M5 looks like it may not require cuts since the gaps are wider. Above M5, the pitch jumps up ~2X, so it looks like around 60 nm, very relaxed, like early double patterning days.
I’m not even going to attempt to figure out how you derived all of that information from one low resolution cross section.
 
Even with ~50 nm pitch, stochastic behavior is still significant (https://www.semanticscholar.org/pap...bert/4773b3807b16e62a1fdb5aba1057b2989136f210), so the yield or throughput would still be impacted. A single exposure illumination also limits the pitch due to shift vs. focus, as well as best focus vs. pitch (http://euvlsymposium.lbl.gov/pdf/2015/Oral_Monday/Session2_EUV Insertion in Manufacturing 2/S2.4_Wittebrood.pdf).

View attachment 1040
Recent data https://www.researchgate.net/public...ty_electrical_test_and_voltage_contrast_study shows 28 nm pitch having an 80 nm difference of best focus (for minimal LER) from 32 nm pitch:

P28 vs P32 Best Focus.png

The illumination was targeted for 28 nm pitch.
 
shows 28 nm pitch having an 80 nm difference of best focus (for minimal LER) from 32 nm pitch:
What phenomenon causes LER to improve when focus is off by -70nm? You can see the LER decreases even further at -100nm but Fig. 4(b) shows many other defects by that point (they do not show the images for less than 60 which would have been interesting).

It appears to be a LAM formulation 3-layer dry resist, in which case the resist is only about 20 to 30nm thick, so depth of focus interior to the resist does not seem the reason.
 
Back
Top