Array
(
    [content] => 
    [params] => Array
        (
            [0] => /forum/index.php?threads/euv-shot-noise-impact-on-7nm.7993/
        )

    [addOns] => Array
        (
            [DL6/MLTP] => 13
            [Hampel/TimeZoneDebug] => 1000070
            [SV/ChangePostDate] => 2010200
            [SemiWiki/Newsletter] => 1000010
            [SemiWiki/WPMenu] => 1000010
            [SemiWiki/XPressExtend] => 1000010
            [ThemeHouse/XLink] => 1000970
            [ThemeHouse/XPress] => 1010570
            [XF] => 2021370
            [XFI] => 1050270
        )

    [wordpress] => /var/www/html
)

EUV Shot Noise Impact on 7nm

Fred Chen

Moderator
Recent studies [1-3] have increased awareness of stochastic effects in EUV lithography. These effects have in fact been brought up years earlier [4-6] as the manifestation of shot noise. The general idea is that photons used in lithography arrive randomly from the source, within the printed area. As the area shrinks, there are fewer photons for the same dose. This leads to a necessary trend of increasing dose to compensate. This will be clarified below.

From the Poisson statistics, the natural variation in the photon number is measured by the standard deviation in the photon number, which is the square root of the average photon number. Since chips these days contain many billions of features, we easily need +/- 6 standard deviations of coverage; this already leaves out ~2 parts per billion of the feature population; Intel in fact is even stricter, going for 7 standard deviations [3]. In any case, the natural feature dose variation over the whole chip exceeds 6*sqrt(N)/N = 6/sqrt(N), where N is the average photon number in the printing area for the given dose. For example, if the number of absorbed EUV photons is 1800, 6 standard deviations corresponds to 14%. If the photon number is fixed, the incident dose is inversely proportional to the reference exposed area.

The trend is clear: each successive 0.7x scaled node is expected to require double the minimum EUV dose [6]. A higher dose requires proportionally higher power or else slower scan, i.e., lower throughput. Clearly, EUV source power and resists and pellicles sufficient to enable/tolerate these increasing doses at satisfactory throughput are the primary issues.

Note: Only a fraction of the incident photons are absorbed. For example, for 20 nm thick resist with absorption of 20/um, e.g., Inpria resist, about a third of the dose is absorbed. While a larger fraction of EUV photons are absorbed by resists compared to DUV, this is offset by the much smaller exposed areas targeted by EUV.

Update: I have updated the discussion of this issue at this site: The Stochastic Behavior of Optical Images and their Impact on Resolution An example figure from that article is posted below.

0


References:

1. P. de Bisschop, J. Van de Kerkhove, J. Mailfert, A. Vaglio Pret, J. Biafore, “Impact of Stochastic effects on EUV printability limits,” Proc. of SPIE vol. 9048, 904809 (2014).

2. S. Hsu, R. Howell, J. Jia, H-Y. Liu, K. Gronlund, S. Hansen, J. Zimmermann, “EUV Resolution Enhancement Techniques (RETs) for k1 0.4 and below,” Proc. of SPIE vol, 9422, 94221I (2015).

3. A. Lio, “EUV Resists: What’s Next?,” Proc. of SPIE vol. 9776, 97760V (2015).

4. Z-Y. Pan, C-K. Chen, T-S. Gau, and B. J. Lin, “Influence of Shot Noise on CDU with DUV, EUV and E-Beam,” Proc. of SPIE vol. 6924, 69241K (2008).

5. S. H. Lee, R. Bristol, and J. Bjorkholm, “Shot noise and process window study for printing small contact holes using EUV Lithography,” Proc. of SPIE vol. 5013, 890-899 (2003).

6. F. T. Chen, W-S. Chen, M-J. Tsai, and T-K. Ku, “Complementary polarity exposures for cost-effective line-cutting in multiple patterning lithography,” Proc. of SPIE vol. 8326, 82362L (2012).

7. A. Pirati, R. Peeters, D. Smith, S. Lok, M. van Noordenburg, R. van Es, E. Verhoeven, H. Meijer, A. Minnaert, J-W. van der Horst, H. Meiling, J. Mallmann, C. Wagner, J. Stoeldraijer, G. Fisser, J. Finders, C. Zoldesi, U. Stamm, H. Boom, D. Brandt, D. Brown, I. Fomenkov, and M. Purvis, “EUV lithography performance for manufacturing: status and outlook,” Proc. of SPIE vol. 9776, 97760A (2015).

8. N. Felix, D. Corliss, K. Petrillo, N. Saulnier, Y. Xu, L. Meli, H. Tang, A. De Silva, B. Hamieh, M. Burkhardt, Y. Mignot, R. Johnson, C. Robinson, M. Breton, I. Seshadri, D. Dunn, S. Sieg, E. Miller, G. Beique, A. Labonte, L. Sun, G. Han, E. Verduijn, E. Han, B. C. Kim, J. Kim, K. Hontake, L. Huli, C. Lemley, D. Hetzer, S. Kawakami, and K. Matsunaga, “EUV Patterning Successes and Frontiers,” Proc. of SPIE vol. 9776, 97761O (2015).
 
Last edited:
Engineering

Ah, these nice engineering problems sporadically makes me wonder if I should return to the technology development department in imec. Fortunately these thoughts don't last long :).

For 7nm I think people are considering post-litho processing steps to smooth the line edge which should allow to reduce the 6 sigma spec to 4 or even 3 sigma.
 
Ah, these nice engineering problems sporadically makes me wonder if I should return to the technology development department in imec. Fortunately these thoughts don't last long :).

For 7nm I think people are considering post-litho processing steps to smooth the line edge which should allow to reduce the 6 sigma spec to 4 or even 3 sigma.

Some smoothing/slimming would be necessary indeed and in fact comes with the spacer patterning territory. The however many sigma that's to be reduced from whatever process origin (6 or even 7, as from Intel) strictly depends on the population number.
 
Last edited:
Resists do not absorb enough EUV

It turns out organic resists are too transmissive for EUV. Even 120 nm thick ZEP520A is already transmitting more than 60%. This means more than half of the photons are not absorbed, which makes the required dose to avoid shot noise effects even higher (by at least 2.5x).

Ref.: https://www.jstage.jst.go.jp/article/photopolymer/29/5/29_749/_pdf

Even 35 nm thick resist with 20/um absorption coefficient would still absorb only 1-exp(-20/um*0.035 um) ~ 50% of the photons. The negative Inpria resist is thinned below 20 nm, so that only ~30% of the photons are absorbed. The other ~70% are absorbed in the layers underneath.

For vias, positive organic resists are expected for less defects, the dose can be projected.

Absorption vs. thickness:
View attachment 18945
Projected dose:
View attachment 18946

At this rate, requiring ~100 mJ/cm[SUP]2[/SUP] makes EUV pretty unfeasible for 7nm.

Thinner resists are required for narrower features, to avoid resist collapse.
 
Last edited:
EUV shot noise seen at 62 nm pitch

"Impact of Stochastic effects on EUV printability limits," Proc. of SPIE Vol. 9048 904809 © 2014 SPIE

Figure 1, the dose-dependent stochasticity is already observed even at 62 nm pitch, as not every photon is absorbed.
 
Last edited:
"Impact of Stochastic effects on EUV printability limits," Proc. of SPIE Vol. 9048 904809 © 2014 SPIE

Figure 1, the dose-dependent stochasticity is already observed even at 62 nm pitch, as not every photon is absorbed.

Fred, do you want to imply with these comments that EUV will never make it to production ? That engineering won't be able to solve or work around this shot noise problem ?
 
Fred, do you want to imply with these comments that EUV will never make it to production ? That engineering won't be able to solve or work around this shot noise problem ?

Staf, I had only been updating when I came across relevant information for the original thread. I did not know until now how small the feature size had to be to be seen, and the original calculation assumed total absorption, optimistically of course.

It would imply EUV needs much more time to be ready in terms of resist and source power requirements and also need to tighten, i.e., higher absorbed dose, with scaling.
 
Last edited:
LWR and LCDU review going into 2017

As of 2016, the local CD uniformity (LCDU) for 20 nm contacts was 3.8 nm @ ~30 mJ/cm[SUP]2[/SUP] (ASML, SPIE 2016) while the LWR for 16 nm half-pitch lines was over 5 nm at ~23 mJ/cm[SUP]2[/SUP] (IMEC, Semicon Europa). These doses all are too low to be used at 7nm node for positive CARs with absorption of ~5/um (necessary for vias).

View attachment 18982
 
Last edited:
Pellicle durability crucial to EUV scalability

The local CD uniformity requirements enforce maintaining at least the same number of photons per feature, but with more features per unit area for each successive generation of devices, more photons must be absorbed per EUV exposure, which means more EUV absorbed by the pellicle per node advance - a pressing issue, especially with the ASML-Zeiss JV underway. Of course, it is assumed the sufficient power levels are achievable.

A pellicle can actually get white-hot from EUV exposure (see M. Nasalevich et al., Proc. of SPIE vol. 10032, 100320L (c) 2016 SPIE.)
 
Last edited:
Sensitive resists, shot noise... all of this speaks to photon starvation. Is the LPP source considered the only viable choice? Is that race over? I would think with this much investment in moving to EUV, there would be a backup source technology. I don't see a path to kW-scale plasma sources, if that's the power really needed. Looking at the ASML technology, the complexity and cost might justify development of alternative sources, but is it too late? I'm curious if others have strong opinions about this.
 
Sensitive resists, shot noise... all of this speaks to photon starvation. Is the LPP source considered the only viable choice? Is that race over? I would think with this much investment in moving to EUV, there would be a backup source technology. I don't see a path to kW-scale plasma sources, if that's the power really needed. Looking at the ASML technology, the complexity and cost might justify development of alternative sources, but is it too late? I'm curious if others have strong opinions about this.

There was some talk of using FEL (Free Electron Laser) for more power. It is quite similar to synchrotron used for X-ray lithography. Such power may be needed not only to supply enough EUV photons but also to keep up with the throughput for EUV double patterning or even higher multiple patterning shortly after. But it is moot if the pellicle burns up at this point.
 
I would think with this much investment in moving to EUV, there would be a backup source technology.

You should realise that current source is already the one that has been retained after elimination of other architectures that performed worse. But if you have an idea with the possibility to offer higher power with better reliability and at lower cost I'm sure you will have no problem of finding venture capital.
 
One factor that has been aggravating the shot noise issue for EUV is resist absorption. For chemically amplified resists, the absorption coefficient is typically 5/um, compared to examples like 0.65/um or 1.3/um for ArF. The newer metal oxide EUV resists have an absorption coefficient of 20/um. The latter allows more efficient absorption in thinner resist. The top few nm of the EUV resist are essentially more likely to be unexposed for low enough dose and low enough absorption coefficient. On the other hand, the top portion acts as an absorbing shield for the rest of the underlying resist, subsequently aggravating shot noise of absorption in the lower portion of the resist, by reducing the dose by at least 10%. Unfortunately, this effect is worse for the higher absorption coefficient of EUV, but it's more obvious for thicker resists. Probably even more obviously, the resist profile would be impacted.

View attachment 19310

In terms of equalizing absorbed photon doses, 100 nm thickness 0.65/um yields 6% ArF absorption, 20 nm thickness 20/um yields 33% EUV absorption, 30 nm thickness 5/um yields 14% EUV absorption, which means here we expect EUV 20/um requires 2.7x the ArF dose and EUV 5/um requires 6.5x the ArF dose. If the ArF dose to match is 30 mJ/cm[SUP]2[/SUP], we would need EUV to have 81 and 195 mJ/cm[SUP]2[/SUP], respectively. Likewise, 100 nm thickness 1.3/um yields 12% ArF absorption, so the EUV dose to match ArF 30 mJ/cm[SUP]2[/SUP] would be 159 and 375 mJ/cm[SUP]2 [/SUP]for 20/um and 5/um, respectively.

More rigorously, it can be shown that the bottom 10% of the resist thickness cannot absorb more than 3.7% of the total incident photon dose. Hence, resist absorption ultimately offers no relief to shot noise effects.
 
Last edited:
EUV doses vs. ArF

The targeted doses for EUV compared to ArF should be much higher. Using the Wikipedia table from the EUVL article as a reference:
View attachment 20123
the dose needs to be at least 73 mJ/cm[SUP]2[/SUP] for metal oxide resist and 102 mJ/cm[SUP]2[/SUP] for CAR, to match the shot noise of 30 mJ/cm[SUP]2[/SUP] with ArF CAR.
 
Throughput vs Power/Dose

A recent article this year (Light sources for high-volume manufacturing EUV lithography: technology, performance, and power scaling : Advanced Optical Technologies) gives a chart to estimate wafer throughput for a given power/dose ratio:

View attachment 20151
On the current 0.33 NA NXE tools, a dose of 40 mJ/cm[SUP]2[/SUP] corresponds to a wafer throughput of around 80 WPH @250W. Of course, this dose is not rigorously high enough to address shot noise. Higher doses will drive the throughput down even more.

Another important factor so far not considered, is the source stability. Over the course of months, the collector reflectivity degrades, leading to an effective loss of source power. This would also drive down the throughput (at the same dose). From the same article:

View attachment 20152
A 10% loss of power could result in the throughput dropping from 80 to 70 WPH, for example, or otherwise a 10% dose reduction.
 
Last edited:
Unopened contacts and PPE (SPIE 2017, GlobalFoundries/Fractilia)

Paper 101430E at this year's SPIE featured some simulation-based studies of stochastics in N7 EUV applications. One of the more dramatic results was the significant impact of mask CD on the actual printed CD distribution, targeting 23 nm contact hole CD on 50 nm pitch. The impact of mask CD variation is amplified by the Mask Error Enhancement Factor (MEEF), which exceeds 10 at 23 nm and below. Consequently, the lower tail is stretched out, and there are unopened contacts. Note the dose was targeted at 72 mJ/cm[SUP]2[/SUP], significantly more than the 20 mJ/cm[SUP]2[/SUP] dose for tool specifications.

The same paper also showed that shot noise could impact pattern placement error (PPE). It should make anyone wonder if the through-focus pattern shifts after correction by source-mask optimization (SMO) can be really that noticeable against a roughly +/-2 nm range stochastic PPE backdrop.

For line-space examples, a 36 nm pitch trench grating pattern at 44 mJ/cm[SUP]2[/SUP] was considered. Similarly to the case for contact holes, for smaller mask CDs, as small as 16 nm in this case (nominal=17.5 nm), the image log slope (ILS) degraded significantly, to the point that excessively small CDs (<5 nm) appeared in the population. Higher blur had the same effect as smaller mask CD.

Reference: T. A. Brunner, X. Chen, A. Gabor, C. Higgins, L. Sun, C. A. Mack, "Line-Edge Roughness performance targets for EUV Lithography," Proc. of SPIE vol. 10143, 101430E (c) 2017 SPIE
 
Last edited:
Latest NXE:3400B Results

Latest results at 2017 EUVL source workshop indicate 207 W to give 126 WPH at 20 mJ/cm[SUP]2[/SUP]: https://www.euvlitho.com/2017/S1.pdf (see slide 40). However, at SPIE 2017, it was already indicated that contacts around 20 nm were being exposed at doses around 80 mJ/cm[SUP]2[/SUP]: Enabling sub-10nm node lithography: presenting the NXE:3400B EUV scanner (Fig. 18). Since the power is limited, the stage speed determines the dose, so this 4x difference indicates throughput of ~30 WPH or 720 WPD (~1/9 of fastest immersion tool NXT:1980Di) at current power levels, or else power has to go up 4x, over 800 W, to maintain the same throughput, for real targeted applications.

Furthermore, each time the target dose goes up, the resist composition has to be retuned: Stochastic and systematic patterning failure mechanisms for contact-holes in EUV lithography: Part 2 (Figs. 5 and 6).

So the photon shot noise impact is clearly real.
 
Last edited:
EUV SMO adds shot noise

EUV has also made significant use of source-mask optimization (SMO), where the illumination source is divided into many selected points for optimum lithographic performance. Interestingly, it seems to result in rather "noisy" distributions of the illumination points themselves (here is an example: https://www.spiedigitallibrary.org/.../97761R/FigureImages/2328_97761R_page_5_1.jpg). But in the end, there are more critical point locations besides the usual quadrupole/hexapole/dipole locations, so there are fewer photons from each such location. The higher k1 for EUV distributes more illumination points toward the center. This aggravates the shot noise.
 
Last edited:
Back
Top