Array
(
    [content] => 
    [params] => Array
        (
            [0] => /forum/index.php?threads/can-post-layout-simulation-be-done-using-partial-tech-file.846/
        )

    [addOns] => Array
        (
            [DL6/MLTP] => 13
            [Hampel/TimeZoneDebug] => 1000070
            [SV/ChangePostDate] => 2010200
            [SemiWiki/Newsletter] => 1000010
            [SemiWiki/WPMenu] => 1000010
            [SemiWiki/XPressExtend] => 1000010
            [ThemeHouse/XLink] => 1000970
            [ThemeHouse/XPress] => 1010570
            [XF] => 2021370
            [XFI] => 1050270
        )

    [wordpress] => /var/www/html
)

Can Post-layout simulation be done using partial tech-file?

nitinthapliyal

New member
Is the technology file(i.e.LEF file) used during layout which contains layer,via,rules etc different from comp to comp(from ST to TI to Freescale)?
If yes, than does it contains any common information?
If yes, than what are those points?
Can i create this file manually(something which is specific be not mentioned and only common things described) and the cell libraries can automatically access the tech parameters as needed for them as these would be present in the lib cells also?

<script type="text/javascript" src="http://platform.linkedin.com/in.js"></script><script type="in/share" data-counter="right"></script>
 
Last edited by a moderator:
The LEF file is an ASCII file with placement information about the Cells used in your IC design. It has the names of the Cells, pins and the metal layer for each pin. The DEF file has information on how the Cell pins are connected together.
 
Back
Top