Array
(
    [content] => 
    [params] => Array
        (
            [0] => /forum/index.php?members/mooredaddy.322214/recent-content
        )

    [addOns] => Array
        (
            [DL6/MLTP] => 13
            [Hampel/TimeZoneDebug] => 1000070
            [SV/ChangePostDate] => 2010200
            [SemiWiki/Newsletter] => 1000010
            [SemiWiki/WPMenu] => 1000010
            [SemiWiki/XPressExtend] => 1000010
            [ThemeHouse/XLink] => 1000970
            [ThemeHouse/XPress] => 1010570
            [XF] => 2021370
            [XFI] => 1050270
        )

    [wordpress] => /var/www/html
)

Recent content by Mooredaddy

  1. M

    Intel is industry’s first mover on High NA EUV lithography system.

    Intel seems to be positioning this to make it seem like having High NA EUV is going to catapult them to the front of tech leadership. Narrative may work on the unsophisticated...
  2. M

    TSMC Q1 2024 Discussion

    it's so infuriating. TSM trades like a vastly inferior company for no reason. It gets kneecapped on the way up and down. I really cannot see the logic in its price action. Does anyone really think TSM should trade at a P/E below the semi space average? A P/E in the 20's is insanity and the...
  3. M

    TSMC Q1 2024 Discussion

    Stock is down 6%. I've never seen a stock for such a good company trade as irrationally as TSM does. It really makes no sense
  4. M

    Japan chipmaker Rapidus opens arm in Nvidia's backyard from Nikkei Asia

    Exactly. The economics of being leading edge don’t make sense unless you are producing a sh*t ton of wafers. Besides, how are you ever going to get to economical yields if you are running so few wafers. The upfront fixed cost is the same.
  5. M

    Applied Materials could abandon $4bn US R&D project

    Applied will be just fine. Likely just a public pressure campaign to try and get some money
  6. M

    Japan chipmaker Rapidus opens arm in Nvidia's backyard from Nikkei Asia

    This was my immediate reaction. Its like the complete opposite of the TSMC model (economies of scale and massive data to scale yield). I'm trying to figure out in my brain how Rapidus will be economically viable? IBM is hardly a flex also. The image that comes to mind is of a artisian workshop...
  7. M

    $6.6 Billion in Subsidies to TSMC Won’t Fix Deep Market Structure Issues In Semiconductor Industry

    Someone with zero industry expertise writing anti TSMC articles, while running ads for Intel grants is quite fascinating. Make you think….
  8. M

    Any thoughts on Apple's AI platform?

    Thoughts on what? They haven’t said anything. I’m not sure they even had an a.i strategy until a very recently
  9. M

    $6.6 Billion in Subsidies to TSMC Won’t Fix Deep Market Structure Issues In Semiconductor Industry

    Suspect article. Clicked on the link and was immediately met with a web page plastered with Intel + Ohio ads saying how great it was for America…. 🙄
  10. M

    Meta: Our next-generation Meta Training and Inference Accelerator

    That leaped out to me too. Smaller process + huge TPD increase. Brute force technique
  11. M

    Meta: Our next-generation Meta Training and Inference Accelerator

    TSMC N5. Thanks for telling us META, unlike ALPHABET :rolleyes:
  12. M

    TSMC March 2024 Revenue Report

    Guidance should be quite telling but what I'm really excited for is the NA tech symposium. Its like christmas for semi nerds!!!
  13. M

    TSMC AZ Update

    I’m aware of the nodlets. I was referring to the change in scope of the site as a whole. It was initially just going to be a N7 site. And then it changed to N5. And then N5 changed to N4 nodlets. They did move the base process technology they are going to produce there up in complexity each time...
  14. M

    Introducing Google Axion Processors, our new Arm-based CPUs

    Why do these companies almost hide the process they are using? Thats the detail I want to see first and they either bury it in the bottom or don't it at all!!
Back
Top