Array
(
    [content] => 
    [params] => Array
        (
            [0] => /forum/index.php?threads/intel-earnings-announcement-gloomy-2023.17375/page-3
        )

    [addOns] => Array
        (
            [DL6/MLTP] => 13
            [Hampel/TimeZoneDebug] => 1000070
            [SV/ChangePostDate] => 2010200
            [SemiWiki/Newsletter] => 1000010
            [SemiWiki/WPMenu] => 1000010
            [SemiWiki/XPressExtend] => 1000010
            [ThemeHouse/XLink] => 1000970
            [ThemeHouse/XPress] => 1010570
            [XF] => 2021370
            [XFI] => 1050270
        )

    [wordpress] => /var/www/html
)

Intel earnings announcement - gloomy 2023

If Intel successfully launch Intel 3, 20A, and 18A on schedule but with big loss, what will stock market react?
In my opinion, which is worth about as much as you're paying for it, it would depend on the IFS customers they can discuss, how fast revenue is growing, and whether they communicate a bullish outlook. A lot of investment "experts" and individual investors pay attention to a company's outlook more than current results.
 
If nothing else, IFS must be looking better than Samsung on the most important metrics, because if not; who would bother?
The who it like to know? There is at least i found none, source that someone taped anything at 18A or 20A or 3NM at Intel?
The only thing that was kindy official is "potential" costumer? But potential?, of course everybudy asking in checking.
 
The who it like to know? There is at least i found none, source that someone taped anything at 18A or 20A or 3NM at Intel?
The only thing that was kindy official is "potential" costumer? But potential?, of course everybudy asking in checking.
QCOM has taped out 18A iirc at Intel, thats the potential customer they are talking about.
IFS also has landed AWS and Mediatek, but those are gonna take a bit longer.
No information is public about who is purchasing i3 at intel yet as far as I know.

Yes, its a "potential" customer for 18a but having a tape out (confirmed by them in their earnings call) means that its pretty much official unless Intel has another 10nm situation.
You can see the confirmation of tape out for 18A here in the transcript:
 
I am not an expert in the semiconductor space but have a lot of exposure to the semiconductor shares. There is one observation that I want to share and seek comments from other members. I observe that top end AMD Genoa has >1.5 cores than Sapphire Rapids (96Vs60). However the listing price of Sapphire Rapids is >1.5 times that of Genoa (US17k vs 11k). Yet, Intel is not making money out of it as guided in their presentation. I understand that under utilisation is the main reason but it also shows that Intel's chips are expensive to make. My suspicion is that its yield is too low. If my suspicion is correct, the problems will get worse as it rushes into intel 4,3 and 20A, similar to Samsung. The only difference is that Samsung has other divisions to cover the losses but Intel doesn't. Any comments most welcome.
 
We have already seen reports of Intel scaling down their initial grand plans of multiple fabs at multiple locations. For example Intel does not commit to a start construction date for the fab in Germany: https://www.politico.eu/article/int...start-date-for-chip-plant-in-germany-report/ or the Brookfield collaboration of the fab in the states. Someone in this very thread mentioned of the RISC-V initiative and the impact it could have in IFS, but the whole initiative is rather in a dead end (https://www.electropages.com/blog/2023/01/intel-terminates-risc-v-development-project-what-happened). Other signs are starting to pop up by the day.

I have never been without my doubts about the Intel plans concerning their efforts in the foundry space. But all the latest news make me worry more. I think Intel tried to certain extend to exert as much money as possible from government subsidies everywhere in the world that they could see an opportunity. Everyone seems to be keen on signing a huge check these days if there is a mention of a semiconductor fab. And in an effort to somehow present a leadership story, Intel has layed some very ambitious plans about process leadership and multiple nodes on multiple fabs on the bleeding edge in a very short amount of time. I cannot see the economics work, especially now that there is a downturn in the overall economy and the semiconductors in specific. While I never felt comfortable about the IFS idea, I am starting to think that should Intel pursue this path, maybe it should have followed the exact opposite route that it is now following.

IFS to be successful now has to prove itself on multiple fronts per the plan announced: technology leadership with best PPA delivered on time, available capacity, external customer support, quality of PDKs. Every one of these elements is new and has to be proven. So if one stumbles, in my opinion, the whole tower falls apart. Given the difficulties in bringing up new process nodes (and the recent track record of Intel itself) it cannot be guaranteed that this technology leadership will be achieved and even more that it will be achieved in the specified time frame. Even if it is achieved, will yields be that good to ensure the requested capacity? And will Intel by that time have enough financial strength to support as many fabs required to produce both for itself and external customers? If not, the Intel Design seems to have higher margins than IFS, so will Intel favour external customers more than itself? The million dollar question is also the use of third party foundries for Intel products. If Intel achieves process leadership but does not have the capacity to manufacture for both itself and external customers, will it sacrifice its own products by moving to a third party foundry? How will this marketed? Customer support and PDK upbring is also to be proven and for a lot of design houses it makes or breaks the deal. IFS is rather new to the game and I would consider this to be also capital intensive as Intel will have to extensively work with EDA companies and clients and lots of engineers will have to be allocated to these roles.

The most important thing about all these is that Intel has to pour billions of dollars before it can make a cent. And it is not a done deal, it has pretty fierce competition and many uncertainties.

I am wondering whether Intel should have chosen the path of trying to engage clients in older nodes and/or invest in additional capacity in 22nm, 14nm and 10nm for external customers. Especially 22nm and 14nm are very well working nodes, there is significant less pressure to use those internally as the new Intel chips move to newer processes, customers know that these nodes are proven and there is demand judging from the number of fabs in the 28nm and 16nm related nodes that are currently being put under construction by other foundries (automotive seems to be a big driving force here and it would be important for Europe as well). At least Intel would have time to setup customer support and all the rest in an easier environment and since these technologies are mostly paid off, it would need significant less capital and maybe it could provide far more competitive pricing. For external customers, I also believe that the cost to design for those nodes (and the products that they would design for) are much less riskier than when gunning for the bleeding edge. Also, for those nodes, Intel may actually have to work with companies that are much less of a competitor than for the bleeding edge nodes, where the major forces there have at least a line of products that are in direct competition with Intel.

Of course I am another CEO of the couch and I can have just another opinion like thousands others that do not know the actual numbers, internal stories or have the responsibility to take decisions, so judge my words by these... :) As an investor, I would not touch Intel stock at this point, as my belief is that we still have a lot of way to hit a bottom.
 
We have already seen reports of Intel scaling down their initial grand plans of multiple fabs at multiple locations. For example Intel does not commit to a start construction date for the fab in Germany: https://www.politico.eu/article/int...start-date-for-chip-plant-in-germany-report/ or the Brookfield collaboration of the fab in the states. Someone in this very thread mentioned of the RISC-V initiative and the impact it could have in IFS, but the whole initiative is rather in a dead end (https://www.electropages.com/blog/2023/01/intel-terminates-risc-v-development-project-what-happened). Other signs are starting to pop up by the day.

I have never been without my doubts about the Intel plans concerning their efforts in the foundry space. But all the latest news make me worry more. I think Intel tried to certain extend to exert as much money as possible from government subsidies everywhere in the world that they could see an opportunity. Everyone seems to be keen on signing a huge check these days if there is a mention of a semiconductor fab. And in an effort to somehow present a leadership story, Intel has layed some very ambitious plans about process leadership and multiple nodes on multiple fabs on the bleeding edge in a very short amount of time. I cannot see the economics work, especially now that there is a downturn in the overall economy and the semiconductors in specific. While I never felt comfortable about the IFS idea, I am starting to think that should Intel pursue this path, maybe it should have followed the exact opposite route that it is now following.

IFS to be successful now has to prove itself on multiple fronts per the plan announced: technology leadership with best PPA delivered on time, available capacity, external customer support, quality of PDKs. Every one of these elements is new and has to be proven. So if one stumbles, in my opinion, the whole tower falls apart. Given the difficulties in bringing up new process nodes (and the recent track record of Intel itself) it cannot be guaranteed that this technology leadership will be achieved and even more that it will be achieved in the specified time frame. Even if it is achieved, will yields be that good to ensure the requested capacity? And will Intel by that time have enough financial strength to support as many fabs required to produce both for itself and external customers? If not, the Intel Design seems to have higher margins than IFS, so will Intel favour external customers more than itself? The million dollar question is also the use of third party foundries for Intel products. If Intel achieves process leadership but does not have the capacity to manufacture for both itself and external customers, will it sacrifice its own products by moving to a third party foundry? How will this marketed? Customer support and PDK upbring is also to be proven and for a lot of design houses it makes or breaks the deal. IFS is rather new to the game and I would consider this to be also capital intensive as Intel will have to extensively work with EDA companies and clients and lots of engineers will have to be allocated to these roles.

The most important thing about all these is that Intel has to pour billions of dollars before it can make a cent. And it is not a done deal, it has pretty fierce competition and many uncertainties.

I am wondering whether Intel should have chosen the path of trying to engage clients in older nodes and/or invest in additional capacity in 22nm, 14nm and 10nm for external customers. Especially 22nm and 14nm are very well working nodes, there is significant less pressure to use those internally as the new Intel chips move to newer processes, customers know that these nodes are proven and there is demand judging from the number of fabs in the 28nm and 16nm related nodes that are currently being put under construction by other foundries (automotive seems to be a big driving force here and it would be important for Europe as well). At least Intel would have time to setup customer support and all the rest in an easier environment and since these technologies are mostly paid off, it would need significant less capital and maybe it could provide far more competitive pricing. For external customers, I also believe that the cost to design for those nodes (and the products that they would design for) are much less riskier than when gunning for the bleeding edge. Also, for those nodes, Intel may actually have to work with companies that are much less of a competitor than for the bleeding edge nodes, where the major forces there have at least a line of products that are in direct competition with Intel.

Of course I am another CEO of the couch and I can have just another opinion like thousands others that do not know the actual numbers, internal stories or have the responsibility to take decisions, so judge my words by these... :) As an investor, I would not touch Intel stock at this point, as my belief is that we still have a lot of way to hit a bottom.

Intel is a huge company and reach the degree that there are many conflict of interests, contradiction, and inefficiency inside of the Intel itself. Intel and Pat Gelsinger know it and that's why they are closing some groups and spun off some divisions. But at the same time they are making it more difficult by entering foundry business.

If they can't figure out a way to resolve it then the market will do it for them.
 
QCOM has taped out 18A iirc at Intel, thats the potential customer they are talking about.
IFS also has landed AWS and Mediatek, but those are gonna take a bit longer.
No information is public about who is purchasing i3 at intel yet as far as I know.

Yes, its a "potential" customer for 18a but having a tape out (confirmed by them in their earnings call) means that its pretty much official unless Intel has another 10nm situation.
You can see the confirmation of tape out for 18A here in the transcript:
Honestly i find it unlikely that any Customer had a tape out on a PDK that is only aviable since a few month and is still in Alpha Stage?

Someone have more info?
 
  • Like
Reactions: VCT
i4 is about at parity with N3E. All one needs to do is look at intel and TSMC's roadmaps going forward to see that IF everyone does what they say they will do, then intel will without a doubt have a PPW advantage and at the very least density parity with N2. When they add BSPD to an N2P or N1.8 they will probably have a density lead over 18A, but at that point we are talking 2026/27. Whatever is after the N2 and 20/18A family is where the real race will be. 1st generation HNSs are being conservative on density (N2/3GAE also seems to only be 3 sheets which will hurt their PPA).

Obviously intel needs to hit it's roadmap milestones for this to be the case, and they have not earned the confidence that TSMC has earned. Given their parallel node development and how long intel has had to mule over GAA during their extended time in the finFET era, it is not totally unbelievable that intel already has a good body of research to speed along their HNS development efforts.

Both fortunately and unfortunately nothing is certain, as GAA is the great equalizer. Time will tell who will have the better start in this new era, and who will end this chapter of the transistor's never ending advancement in the technological lead. I excitedly await the results, but one thing I can say with certainty is that regardless of who has the best process TSMC can still hold onto their claim of being "the most trusted foundry".
Is there a comparison anywhere of the latest Intel vs. TSMC tech. node roadmaps? The names have started becoming really confusing. How do we compare equivalent tech. nodes across foundries anymore?
 
Honestly i find it unlikely that any Customer had a tape out on a PDK that is only aviable since a few month and is still in Alpha Stage?
Someone have more info?

QCOM helped develop the 18A PDK so yes they had the confidence to tape out at release .5, which is not alpha.
 
Is there a comparison anywhere of the latest Intel vs. TSMC tech. node roadmaps? The names have started becoming really confusing. How do we compare equivalent tech. nodes across foundries anymore?
Scott Jones is the expert on that:

 
I am wondering whether Intel should have chosen the path of trying to engage clients in older nodes and/or invest in additional capacity in 22nm, 14nm and 10nm for external customers. Especially 22nm and 14nm are very well working nodes, there is significant less pressure to use those internally as the new Intel chips move to newer processes, customers know that these nodes are proven and there is demand judging from the number of fabs in the 28nm and 16nm related nodes that are currently being put under construction by other foundries (automotive seems to be a big driving force here and it would be important for Europe as well).

You needn't wonder! Not sure about how it's going, but was announced they are doing exactly this one year ago:

https://www.intel.com/content/dam/w...documents/2022-intel-investor-meeting-ifs.pdf

1675304553312.png


It is called "Intel 16", shown on page 9 of IFS slide deck at above link. I think they mentioned an automotive customer at one point. Seems likely this would be what they are working on.

Same tech is used for Meteor Lake interposer die.
 
Last edited:
QCOM helped develop the 18A PDK so yes they had the confidence to tape out at release .5, which is not alpha.

Do you think QCOM's "confidence" means 18A PDK 0.5 is competitive with tsmc N2? Or just not terrible for some application but also "not tsmc"?

Seems to me there is a reason even intel's own product groups still using tsmc for the majority of their chiplets in this timeframe (looking at you especially Raja and GPU team). Perhaps it's just "Smart Capital", or perhaps intel's fab tech still mostly controlled by CCG/DCAI, and tuned for performance/power operating points they care about for their CPU, and not the broader range of PPAC optimization points that their potential customers really care about for their devices? Seems like they are content to yield this huge chunk of the foundry business to tsmc, as evidenced by their own make/buy choices.
 
Last edited:
Do you think QCOM's "confidence" means 18A PDK 0.5 is competitive with tsmc N2? Or just not terrible for some application but also "not tsmc"?

Seems to me there is a reason even intel's own product groups still using tsmc for the majority of their chiplets in this timeframe. Perhaps it's just "Smart Capital".
Just looking at what we know and can easily speculate 10nm SF ~ N7 on PPW and area. 10nm ESF aka i7 is 10-15% above that (and the version in RPL seems at least another 5% better given the higher clocks and 40% lower power at iso performance over ADL). Looking at how it stacks up to Zen4 which is on N5P, I think it is not unfair to say that the i7+ in RPL is only a bit weaker than early N5 and has much better PPW than N7/10nm SF. i4 is 20% above that, and is widely believed to be in the same ballpark on performance as N3/N3E. Density wise it is known to be right on the tail of N3E's HP library. i3 is supposed to be 18% PPW above that, and that should put it in the same ballpark as N3X/N2 (10-15% performance over N3E). Based on the current roadmap 20/18A should launch products at worst at a similar TTM as N2, with 20A being 15% over i3, and 18A being 10% ontop of that. Depending on how early "first half of 2024" means, and if the ramp up for i4/3 makes 20/18A go relatively faster, I could see a scenario where we might even see low/medium volumes of ARL in late 2024. If TSMC and Apple can go from wafer starts to selling millions of phones in 3Qs I don't see why intel couldn't as well. Density is a question mark, but with how poor the scaling is on N2 (1.1x) it is safe to say that intel will at least be competitive on this front in 2024/5.

The roadmap looks good for intel. Actually achieving unquestioned PPW leadership with 18A is entirely on how well LTD can execute. All of the buzz around 18A from potential and likely IFS customers indicated that PPAC and capacity for the 20A family are looking good so far. Of course with all things time will tell.

As for the "majority TSMC" thing there is some nuances that need to be taken account of. At least with MTL you have to take into account that there is the i16 (aka 22FFL aka cost optimized 14nm) die everything sits ontop of. While it is obviously majority TSMC from a transistor count perspective; on a die size basis it is still majority intel. You must also consider that the TSMC bits are and what nodes they are likely replacing. All intel ARC GPUs are on on TSMC, and that is likely what that team is most familiar with. The SOC die which is N6 was probably moved to TSMC because it was cheaper and denser than i7. Outsourcing these bits doesn't imply anything is wrong with 18A. Similar to how AMD using GF for IO dies on zen2/3 and for GCN did not indicate anything was wrong with N7 or N5. Those nodes were chosen because they made sense for the product. Even if intel's new nodes were failing to be competitive with TSMC it wouldn't change anything for products like MTL and GNR, which would be using older nodes than i4 for the auxiliary tiles.
 
Last edited:
Just looking at what we know and can easily speculate 10nm SF ~ N7 on PPW and area. 10nm ESF aka i7 is 10-15% above that (and the version in RPL seems at least another 5% better given the higher clocks and 40% lower power at iso performance over ADL). Looking at how it stacks up to Zen4 which is on N5P, I think it is not unfair to say that the i7+ in RPL is only a bit weaker than early N5 and has much better PPW than N7/10nm SF. i4 is 20% above that, and is widely believed to be in the same ballpark on performance as N3/N3E. Density wise it is known to be right on the tail of N3E's HP library. i3 is supposed to be 18% PPW above that, and that should put it in the same ballpark as N3X/N2 (10-15% performance over N3E). Based on the current roadmap 20/18A should launch products at worst at a similar TTM as N2, with 20A being 15% over i3, and 18A being 10% ontop of that. Depending on how early "first half of 2024" means, and if the ramp up for i4/3 makes 20/18A go relatively faster, I could see a scenario where we might even see low/medium volumes of ARL in late 2024. If TSMC and Apple can go from wafer starts to selling millions of phones in 3Qs I don't see why intel couldn't as well. Density is a question mark, but with how poor the scaling is on N2 (1.1x) it is safe to say that intel will at least be competitive on this front in 2024/5.

Great points regarding PP...it is the AC(t) part that intel seems to suck at.
 
They’ve made a lot of good moves to try and fix that. Leveraging the knowledge that tool vendors have and the experience they picked up helping TSMC and Samsung with their own issues is a big boon. Moving to a more TSMC like development model is also a smart play for ensuring roadmaps can consistently be met.

Three statements that I think apply to intel:

1) It is foolish to expect different results from the same actions.
This is something that LTD seems to have realized. Let’s hope the rest of the company has as well.

2) Past successes don’t guarantee future successes, and prior failures don’t guarantee future failures.

3) “Success breeds complacency, and complacency breeds failure; only the paranoid survive.”
Intel’s success has led to their failure. Let’s see if the new hungry intel is paranoid enough to survive.
 
Back
Top