Array
(
    [content] => 
    [params] => Array
        (
            [0] => /forum/index.php?threads/euvs-productivity-and-157i.15322/
        )

    [addOns] => Array
        (
            [DL6/MLTP] => 13
            [Hampel/TimeZoneDebug] => 1000070
            [SV/ChangePostDate] => 2010200
            [SemiWiki/Newsletter] => 1000010
            [SemiWiki/WPMenu] => 1000010
            [SemiWiki/XPressExtend] => 1000010
            [ThemeHouse/XLink] => 1000970
            [ThemeHouse/XPress] => 1010570
            [XF] => 2021370
            [XFI] => 1050270
        )

    [wordpress] => /var/www/html
)

EUV's productivity, and 157i

Paul2

Well-known member
I keep reading on how people on the last gen node are faring, and besides power it seems there are no clear way forward.

1. Pellicle — is ready, but appeared to be a mixed blessing for productivity, and yields
2. Tool cleaning, and other maintenance — an unavoidable fixed cost. Fabs seem to be trying to improvise there, but in the end it will still be needed, even if fully automated.
3. Mask repair, inspection, and other mask servicing — besides costing a fortune, EUV mask will eventually die, and die invariably faster than DUV masks. EUV masks inherently require more care.
4. Reticle — EUV reticle does not match DUV systems which will be doing metal, needing to shoot multiple exposures into the size of DUV reticle, necessitating stitching essentially the same layer. Since EUV reticle is a mirror itself, there is a limit to how much it can be increased in size. 3500 will likely reach a feasible reticle size maximum for its NA.
5. Material handling — EUV is one more vacuum process, and getting wafers in, and out of vacuumed tools inherently takes more time.
6. Particles — have no idea what's the actual rate, but I believe it's much trickier to fight in a vacuum system. In vacuum, every minuscule amount of volatiles will try to deposit on your wafer.
7. Multiple exposures — seem to be already being used for 3nm, so big hopes for single exposure seem to been misplaced.
8. Future resists — it seems the industry will go for ALD deposited resists, or PSCAR. These will inherently require more complex, and lengthy coating, and developing process.

So, with all this in mind, does this leave a chance for 157i to come back from the grave?
 
So, with all this in mind, does this leave a chance for 157i to come back from the grave?
157i did not give enough resolution boost beyond 193i, and required substantial materials changes all around.

If there were an immersion fluid with n=1.7, the maximum NA could go to ~1.58, that would be the minimum resolution boost I think. However, the last published index values were not so high, not even 1.4. So it did not proceed from that point.
 
What about multi-photon coupling? With two photon coupling the resolution could be theoretically doubled.
Two-photon has a side effect of ionization, since the sum of two photon energies is at least 10 eV for DUV. Probably dual-tone resist process is more what you are after.
 
I think your take on EUV is way too pessimistic.
- EUV is in high volume production for 7nm and 5nm and ramping for 3nm.
- ASML continues to introduce system improvements with each new system offering higher throughput, higher uptime and better precision.
- 3400B was the primary system for 7nm, 3400C is the primary system for 5nm and 3600D will be the primary system for 3nm. There is a 3600E and beyond in development for 0.33NA and eventually High-NA will be introduced. Higher source output, improved optics, and modular systems for easier maintenance are just some of the improvements.
- Yes 3nm will require some EUV double patterning and 2nm even more if high-NA isn't ready yet, but it is manageable and a lot better than the extremely complex DUV multi-patterning schemes that would be required without EUV.
- EUV is delivering better pattern fidelity, tighter electrical distributions, high yields and more routing flexibility versus DUV multi-patterning.
- Pellicles are available, yes they impact throughput limiting them to the layers that need them most but they are in use where they make sense and next generation pellicles with less throughout impact are in development.
- Particle levels inside the EUV tools are very low, on low open area masks high yields are being achieved without pellicles.
- I am not aware of EUV mask lifetime being an issue, mask lifetime for ArF was initially shorter and then improved over time and EUV mask lifetime will likely be similar.
- New EUV mask films are in development to reduce the 3D effect of the masks, this will be particularly important for high-NA.
- EUV reticles are the same size as DUV reticles, but High-NA will be 8x in one direction and 4x in the other direction and for the biggest die may require stitching in the 8x direction, but is still a net productivity improvement. In fact the high-NA optics will pass more of the EUV light through the optics improving intensity at the wafer. At 2nm high-NA should eliminate EUV multi-patterning. Beyond 2nm CFET may enable density improvements without further lithography shrinks.
- EUV photoresist continues to evolve and improve just like every photoresist has when a new wavelength is introduced.

Certainly EUV presents challenges but they are being addressed.

157 is dead, I am not aware of any work on it.
 
Besides, software development companies https://mlsdev.com/services/ui-ux-design must be licensed and registered in the city or state in which the business will be located. They should also have CO in order to conduct business in the region. These licenses are necessary to start a software development company. It is also necessary to check local zoning and building codes to make sure that they are compliant with these laws. Lastly, a software developer should have the right insurance policies for their business.
 
Last edited:
Reticle — EUV reticle does not match DUV systems which will be doing metal, needing to shoot multiple exposures into the size of DUV reticle, necessitating stitching essentially the same layer. Since EUV reticle is a mirror itself, there is a limit to how much it can be increased in size. 3500 will likely reach a feasible reticle size maximum for its NA.
I almost overlooked a clarification that this would apply to the high-NA tools only due to their half-field.

The two-exposure stitching is expected, since the older tools will continue to use as much of full-field as possible.
 
Last edited:
157i did not give enough resolution boost beyond 193i, and required substantial materials changes all around.
How I remember it is that 157 (e.g. without immersion) was killed by 193i (e.g. with immersion). NA scaling for 193 immersion was also stopped due to problem for the high refractive index materials. Given that for 157 the optical path was already nitrogen purged I don't think 157 immersion is feasible.
 
I think your take on EUV is way too pessimistic.
- EUV is in high volume production for 7nm and 5nm and ramping for 3nm.
- ASML continues to introduce system improvements with each new system offering higher throughput, higher uptime and better precision.
- 3400B was the primary system for 7nm, 3400C is the primary system for 5nm and 3600D will be the primary system for 3nm. There is a 3600E and beyond in development for 0.33NA and eventually High-NA will be introduced. Higher source output, improved optics, and modular systems for easier maintenance are just some of the improvements.
- Yes 3nm will require some EUV double patterning and 2nm even more if high-NA isn't ready yet, but it is manageable and a lot better than the extremely complex DUV multi-patterning schemes that would be required without EUV.
- EUV is delivering better pattern fidelity, tighter electrical distributions, high yields and more routing flexibility versus DUV multi-patterning.
- Pellicles are available, yes they impact throughput limiting them to the layers that need them most but they are in use where they make sense and next generation pellicles with less throughout impact are in development.
- Particle levels inside the EUV tools are very low, on low open area masks high yields are being achieved without pellicles.
- I am not aware of EUV mask lifetime being an issue, mask lifetime for ArF was initially shorter and then improved over time and EUV mask lifetime will likely be similar.
- New EUV mask films are in development to reduce the 3D effect of the masks, this will be particularly important for high-NA.
- EUV reticles are the same size as DUV reticles, but High-NA will be 8x in one direction and 4x in the other direction and for the biggest die may require stitching in the 8x direction, but is still a net productivity improvement. In fact the high-NA optics will pass more of the EUV light through the optics improving intensity at the wafer. At 2nm high-NA should eliminate EUV multi-patterning. Beyond 2nm CFET may enable density improvements without further lithography shrinks.
- EUV photoresist continues to evolve and improve just like every photoresist has when a new wavelength is introduced.

Certainly EUV presents challenges but they are being addressed.

157 is dead, I am not aware of any work on it.
Hi Scotten,
What the roughly cost difference between 7nm EUV vs DUV double patterning? Thank you.
 
I almost overlooked a clarification that this would apply to the high-NA tools only due to their half-field.

The two-exposure stitching is expected, since the older tools will continue yo use as much of full-field as possible.
That implies doubling the mask cost for EUV, right? Chips do not split down the middle into perfect replicas. That is a lot of machines needed or sequential scheduling for longer lead times. Seems like it would rarely be worthwhile.

It makes more sense for high-NA chips to be half reticle, unstitched. Any older tools in the line can simply have masks which duplicate each half - after all, the mask commonly supports multiples of smaller chips anyway.

2.5D packaging will be more important for the finished products if 410 mm2 is not enough space for the full logic.
 
That implies doubling the mask cost for EUV, right? Chips do not split down the middle into perfect replicas. That is a lot of machines needed or sequential scheduling for longer lead times. Seems like it would rarely be worthwhile.

It makes more sense for high-NA chips to be half reticle, unstitched. Any older tools in the line can simply have masks which duplicate each half - after all, the mask commonly supports multiples of smaller chips anyway.
From an SPIE paper on half-field overlay last year (1160907, Gabor et al., "Effect of high NA “half-field” printing on overlay error"), NXE 3400C throughput dropped 26% and NXT1980D dropped 36% by going to half-field.
 
The EUV mask lifetime has not been considered an issue, but TSMC reported they are trying to increase pellicle lifetime to match DUV.

The EUV collector does have a lifetime though (power gradual drop), so its replacement was made easier on NXE 3400C.
 
From an SPIE paper on half-field overlay last year (1160907, Gabor et al., "Effect of high NA “half-field” printing on overlay error"), NXE 3400C throughput dropped 26% and NXT1980D dropped 36% by going to half-field.
OIC. The problem is that the high-NA tool may print halves with slightly different alignments. The older tool then can adjust to one or the other with full precision, but not both, so the older tool is forced to print half fields too in order to avoid compromizing the alignment. Ouch. Thanks for the explanatory link!

I wonder if the 0.55 tools will be designed to reduce the systematic alignment error between halves to a level where this additional error is within tolerances.
 
Back
Top